verilog实现I2C通信的slave模块源码状态机设计可做I2C接口的仿真模型,module I2C_slv ( input [6:0] slv_id, input RESET, input scl_i, //I2C clk input sda_i, //I2C data in input [7:0] I2C_RDDATA, ////////////////////////output reg sda_o, //I2C data out output reg reg_w, //reg w ..
使用状态机实现自动饮料售货机,一次只能投入一枚硬币,面值为1元或者5角。具有自动找零功能。
2019-12-21 21:10:37 251KB Verilog
1