FPGA实现中值滤波并采用modelsim进行仿真 仿真后的查看波形,对算法计算部分进行验证。 用matlab实现中值滤波算法,将FPGA和matlab分别实现的中值滤波进行对比。
2022-06-15 14:08:13 4.02MB fpga 图像处理 中值滤波 matlab
1
取σ= 0.8 计算出高斯模板。用该模板进行卷积,从而实现整幅图像的高斯滤波。 高斯滤波能对含有高斯噪声的图像进行去噪,另外也能在构建高斯金字塔的时候用。 将FPGA实现的结果与Matlab实现的结果进行对比。
2022-06-13 09:06:32 46.49MB fpga 图像处理 高斯滤波
1
verilog实现HDB3编码解码modelsim仿真,大学生EDA大作业,自己做的。。暂且没发现bug,大佬勿喷
2022-05-23 14:15:49 1KB verilog modelsim HDB3
1
关于FPGA可编程逻辑器件课程实例代码(NWU),包括详细注解,已经解释、截图的相关文档,希望对大家学习可编程逻辑器件课程有帮助
2022-05-13 01:02:05 15.52MB FPGA Verilog实例
1
本人亲自仿真无误的verilog十进制计数器,程序有中文说明容易读懂,可直接用MOdelsim打开。十进制带进位加计数器
1
ModelSimSE进行功能仿真和时序仿真 modelsim 中文.pdf Modelsim上机指导.pdf
2022-04-24 20:55:12 1.4MB modelsim 仿真 Verilog
1
1.FPGA工程,路径为/par 2.ModeSim工程,独立仿真,使用ModelSIm打开,路径为/Sim 3.有调适说明,路径为/doc
2022-04-06 14:30:23 7.85MB FPGA quartus Modelsim ROM
1
fpga实现sobel边缘检测modelsim仿真代码
2022-03-27 16:42:11 124.87MB FPGA Sobel
1
仿真IP核(建立modelsim仿真库完整解析)
1
用ModelSim 仿真
2022-03-17 19:26:26 889KB modelsim
1