单片机C语言实例--259-ps2键盘LCD1602液晶显示.zip
2022-01-13 16:02:36 25KB 资料
通过串口,模拟其他电脑的键盘输入,达到自动输入预定数据的目的
2022-01-10 21:57:48 78KB 虚拟键盘
1
 在我们的电子系统中,当需要用到大量的按键输入时,普通的独立按键和矩阵键盘已经无法满足我们的输入需求,这个时候,我们需要使用一种功能更加强大的键盘,来帮助我们输入更多的信息。
2021-12-29 16:05:37 108KB FPGA PS2键盘驱动 单片机 文章
1
ps2键盘 并行读时序 ps2键盘 串行读时序
2021-12-29 15:49:37 36KB ps2 时序
1
用VHDL语言写的ps2接口键盘通信模块,只能从键盘接受按键的键值
2021-12-12 18:12:26 13KB ps2,vhdl
1
用VHDL检测扫描PS2键盘的程序,读取键值,并用一个数码管显示出来
2021-12-12 18:09:17 2KB PS2键盘
1
基于fpga的ps2键盘驱动,采用verilog语言描述。 按下时候输出高电平,抬起后变低电平。 (注意,只驱动了F1到F12,1到0,A到Z)
2021-12-08 10:45:43 8.34MB fpga ps2 verilog
1
FPGA控制PS2键盘verilog设计Quartus9.1工程源码+设计说明文件,可以做为你的学习设计参考。 1. 这个实例通过开发板上面的PS/2接口接收键盘输入的数据,在LCD上面显示出来; 2. 工程在project文件夹里面,打开工程; 3. 源文件在rtl文件夹里面; module top(clk_in, data, lcd_e, lcd_rs, lcd_rw, led, ps2ck, ps2dk); input clk_in; output [7:0] data; output lcd_e; output lcd_rs; output lcd_rw; output [7:0] led; inout ps2ck; inout ps2dk; wire XLXN_4; wire XLXN_5; wire XLXN_6; reg clk; assign rst = 1'b1; always@(posedge clk_in) clk <= ~clk; div_256 XLXI_1 (.mclk(clk), .reset(rst), .clk(XLXN_6)); div16 XLXI_2 (.clk(clk), .rst(rst), .clk_16(XLXN_5)); lcd XLXI_3 (.clk(XLXN_5), .data_in(led[7:0]), .rst(XLXN_4), .data(data[7:0]), .lcd_e(lcd_e), .lcd_rs(lcd_rs), .lcd_rw(lcd_rw)); ps2_keyboard_interface XLXI_4 (.clk(XLXN_6), .reset(rst), .rx_read(), .tx_data(), .tx_write(), .rx_ascii(led[7:0]), .rx_data_ready(), .rx_extended(), .rx_released(XLXN_4), .rx_scan_code(), .rx_shift_key_on(), .tx_error_no_keyboard_ack(), .tx_write_ack_o(), .ps2_clk(ps2ck), .ps2_data(ps2dk)); endmodule
ps2键盘输入UART串口输出实验cylone4e FPGA(EP4CE6)Verilog例程quartus11.0工程源码,可以做为你的学习设计参考。 /*FPGA通过ps2接收键盘数据,然后把接收到的字母A到Z键值转换相应的ASII码,通过串口发送到PC机上。 实验时,需要接键盘,还要用调试助手,下载程序后,在键盘上按下一个键,比如A,则在PC调试助手上可看到A */ `timescale 1ns / 1ps module ps2_key(clk,rst_n,ps2k_clk,ps2k_data,rs232_tx); input clk; //50M时钟信号 input rst_n; //复位信号 input ps2k_clk; //PS2接口时钟信号 input ps2k_data; //PS2接口数据信号 output rs232_tx; // RS232发送数据信号 wire[7:0] ps2_byte; // 1byte键值 wire ps2_state; //按键状态标志位 wire bps_start; //接收到数据后,波特率时钟启动信号置位 wire clk_bps; // clk_bps的高电平为接收或者发送数据位的中间采样点 ps2scan ps2scan( .clk(clk), //按键扫描模块 .rst_n(rst_n), .ps2k_clk(ps2k_clk), .ps2k_data(ps2k_data), .ps2_byte(ps2_byte), .ps2_state(ps2_state) ); speed_select speed_select( .clk(clk), .rst_n(rst_n), .bps_start(bps_start), .clk_bps(clk_bps) ); my_uart_tx my_uart_tx( .clk(clk), .rst_n(rst_n), .clk_bps(clk_bps), .rx_data(ps2_byte), .rx_int(ps2_state), .rs232_tx(rs232_tx), .bps_start(bps_start) ); endmodule
本设计是基于NIOSII软核的PS2键盘电子琴,通过ps2键盘上的21个按键来控制蜂鸣器发出高音,中音与低音的(DO,RE,MI,FA,SO,LA,SI)音调,并通过三个数码管来显示相应的音调值,通过两个拨码开关控制节拍(即一个音持续的时间)。
2021-11-17 20:50:53 15.74MB fpga niosii ps2键盘
1