(2)根据 ADD 的操作确定所需器件,PC 寄存器、指令存储器(instruction (3)根据指令所需用到的操作及部件的输入输出关系,可以得到如下数据通路
2023-01-09 23:14:21 3.91MB 网络协议 测试
1
单周期CPU设计Verilog——实现R型,I型,B型共13条指令,内附代码,详细报告,答辩ppt——报告内有详细解析及每个模块验证方案及关键代码
2023-01-03 16:42:32 832KB 课程设计 单周期CPU
1
用硬件描述语言(Verilog)设计单周期CPU,支持如下指令集: { lw,sw,addu,subu, ori, sll, srl, beq,bne } 用仿真软件Modelsim对汇编程序进行仿真测试. 1. 熟悉硬件描述语言(Verilog)和仿真软件Modelsim; 2. 用硬件描述语言(Verilog)设计程序计数器模块(PcUnit); 3. 用硬件描述语言(Verilog)设计指令存储器模块(IM); 4.用硬件描述语言(Verilog)设计寄存器模块(GPR); 5.用硬件描述语言(Verilog)设计数据扩展模块(Extender); 6. 用硬件描述语言(Verilog)设计运算器模块(Alu); 7. 用硬件描述语言(Verilog)设计数据存储器模块(DMem); 8. 用硬件描述语言(Verilog)设计控制器模块(Ctrl); 9. 用硬件描述语言(Verilog)设计整机连接模块(Mips); 10.完成上述汇编程序的仿真调试。
2022-12-29 20:19:16 2.64MB mips 单周期CPU modelsim verilog
1
头歌-计组-MIPS单周期CPU设计(24条指令)(HUST),免费,不需要积分
2022-12-26 19:31:13 463KB 头歌
1
(具体指令在第五章讲解)实现了模块化测试和整合仿真测试,并且通过了最终的测试代码结构清晰,每个函数有清晰易懂的注释;文件结构干净整洁实验报告清晰易懂,涵盖要点、
2022-12-14 11:01:50 1.94MB 软件/插件 测试
1
【verilog】中山大学计算机组成原理单周期CPU完整代码
2022-11-16 17:22:30 13KB 中山大学 计算机 CPU 单片机
1
实验分块在压缩包内,包含所有.v源文件,有的有QuestaSim或Vivado仿真工程,含有单周期和流水线报告各4份,最终实验成绩满绩。
2022-11-03 15:20:31 20.32MB 西工大 计算机组成 CPU 流水线
1
电气与PLC控制技术
2022-10-30 19:05:36 4.35MB 电气 控制技术 PLC