本文主要阐述了电路仿真器如何与3D 场仿真器协同完成设计工作,从而使设计周期从原先的数周缩短为数日。这种解决方案的核心是“场路结合、协同仿真”,优点是有效的结合了三维电磁场仿真的精度和电路仿真的速度
2021-12-24 13:46:31 1.52MB HFSS Ansoft Designer
1
摘 要:在分析现有的性能评估方法之上,提出了用MTLS算法对软硬件划分结果进行性能评估,验证系统软硬件划分的优劣。并且针对单任务图描述多CPU系统结构的不足,提出采用多任务图来描述的方法。首先搭建了软硬件协同设计的平台并描述了软硬件协同设计的流程,其次对目标系统进行形式化的描述,最后重点阐述了多任务图的MTLS性能评估算法,并与MD,HNF,HLHET三种算法进行了比较。实验结果表明,提出的MTLS算法比其他三种算法优越。   关键词:调度;分配;性能评估;软硬件划分;多任务图  引 言   随着微电子技术的发展,芯片的集成度越来越高,片上系统( SOC)的发展已经是必然趋势。SOC系统是将
1
嵌入式系统软硬件协同设计实战指南_基于xilinx_zynq_陆佳华版,pdf清晰版,收集过好多个版本,这个版本属于最清晰的那个,里面有实验教程,作为一本入门书是很不错的,虽说这个本书是好几个人写了拼起来的,个人感觉比河宾的更加易学
2021-11-26 11:34:02 42.55MB Zynq 嵌入式 软硬件协调 系统
1
HFSS_Designer协同设计方法汇编.pdf
2021-11-25 09:06:33 3.77MB
一本不错的zynq入门教程-《嵌入式系统软硬件协同设计实战指南 基于xilinx zynq》-陆佳华。完整版的电子书,带书签,里面有小车、网络摄像头的项目参考
2021-11-16 08:56:58 46.03MB zynq fpga xilinx zedboard
1
本文给出了基于 Xilinx Zynq 的软硬件协同设计的实时图像处理系统理论分析和实验验证。 设计的系统实现了 320*240 图像的实时灰度转换、边缘检测、模糊和锐化处理。本文设计的卷积协处理器通过内置的锁相环工作频率在150 MHz,单帧图像像素为 76800(320*240), 单像素每时钟周期率下,一帧图像的处理时间约为 0.51 mS,对应的的协处理器的图像处理能力能够高达近 2000 FPS(帧/秒),但是采用的 AXI 总线传输一帧图像需要 25mS,因此系统能够完成 40 FPS 的实时吞吐量。
2021-11-03 20:25:25 2.5MB zynq fpga Xilinx_Zynq 实时图像处理
1
水利水电工程三维协同设计方案.pptx
2021-10-20 18:01:31 1.28MB
一种综合电子信息系统协同设计方法及效率评估模型.pdf
2021-08-24 09:07:03 2.04MB 电子信息 信息技术 数据分析 参考文献