组播IP地址与以太网MAC地址映射关系图,这个图帮助理解记忆组播IP地址与以太网MAC地址映射关系.
2021-12-21 20:19:46 37KB 组播IP与MAC 映射关系图
1
摘要: 本文介绍了基于现场可编程门阵列(FPGA) 的以太网MAC 子层协议的硬件实现方法. 硬件结构上由控制模 块、发送模块和接收模块3个部分组成,发送模块和接收模块采用状态机控制数据发送和接收的过程,完成数据的封装、发送和接收功能.   关键词: 嵌入式系统; 以太网MAC; 现场可编程门阵列; 硬件描述语言   传统以PC为中心的互联网应用现已开始转向以嵌入式设备为中心. IA(internet appliance) 概念现在甚为流行,这表明互联网应用进入了嵌入式互联网的时代已经来临. 据网络专家预测,将来在互联网上传输的信息中,有70%来自小型嵌入式系统. 因此,对嵌入式系统接入Int
1
基于RGMII的以太网MAC的FPGA实现,代码工程完整有用。可以作为参考代码,fpga不熟悉者,请不要下载。
2021-07-09 17:19:14 22.91MB FPGA实现 以太网MAC 基于RGMII
1
千兆以太网MAC 含有测试平台 GMII接口 通过FPGA验证
2021-05-06 11:42:25 6.08MB Gigabit Ethernet MAC
1
介绍以太网MII RMII接口的基础知识,比较详细,值得一看!
2021-04-16 19:47:26 1.31MB RMII 以太网 MAC MII
1
基于MII的以太网MAC的FPGA实现代码,采用Verilog HDL编写。代码简单,架构清晰,实用性强。
2021-03-05 12:21:43 11.43MB 以太网 MII FPGA Verilog
1
基于RGMII的以太网MAC的FPGA实现代码,整个工程采用Verilog HDL实现,包括测试用例以及功能验证TestBench
2019-12-21 22:09:44 22.85MB RGMII 以太网 MAC FPGA
1
以太网EMAC IP核,verilog hdl语言源码,内含所有源码和测试代码,说明文档。
2019-12-21 21:03:43 3.05MB 以太网MAC
1
详细介绍了以太网MAC层的数据格式、前导码、FCS等的值,以及串行发送顺序等底层知识,对用FPGA自己实现MII接口,了解传输过程非常有用
2019-12-21 19:51:09 433KB 以太网 MAC bit 协议
1