这是用VHDL编写的数字时钟代码,是本人自己写的,可能方法是比较简单的方法,但是基本符合了数字时钟的要求。上传的是整个工程文件。 实现显示“时-分-秒”、整点报时、小时和分钟可调等基本功能。电子钟的工作应该是在1Hz 信号的驱动下进行,这样每来一个该时钟信号,秒增加1 秒,当秒从59 秒跳转到00秒时,分钟增加1 分,同时当分钟从59 分跳转到00 分时,小时增加1 小时,但是需要注意的是,小时的范围是从0~23 时。
2021-06-09 16:03:49 3.02MB VHDL
1
可以用FPGA实现数码管的时钟显示,并且可以通过按键校时
2021-06-09 15:48:53 1.75MB VHDL FPGA
1
protues仿真完好通过,程序代码适合初学者,lcd采用1602,对于学习液晶和DS1302有很好的帮助
2021-06-08 17:15:16 80KB lcd显示 数字时钟
1
传感器代码
2021-06-08 15:01:40 14KB keil4
1
主界面是一个自带时间、日期的LED数字时钟,全屏显示翻页时钟本款电子时钟可以一直保持显示状态,酷炫观又实用。
2021-06-06 15:02:47 36.14MB 桌面时钟
1
本文档包含了FPGA课设数字时钟仿真的完整代码和报告。采用ISE软件,用verilog语言写成,可成功仿真出波形。(附有每个模块的测试代码)
2021-06-05 19:07:25 125KB FPGA verilog
1
本资源压缩包内含整个Quartus项目工程Top_proj。本项目基于Verilog语言和Quartus II平台设计了一个FPGA简易数字钟,能实现小时、分钟和秒的计时及显示,其中,通过控制时、分和秒实现时钟计时的计数模块是本次设计的核心。计数模块的关键在于能够理解三个计时单位之间的联系,即秒计数满60产生一个向分钟的进位,分钟计数满60产生一个向小时的进位,这两个进位信号将小时、分和秒联系起来,是理解本设计的关键点。 为时钟设计一个初值设置控制信号,按下设置信号时能利用开发板上的拨码开关或按键对时间进行校对设置。https://xinso.blog.csdn.net/article/details/106558166
2021-06-05 09:03:34 1.86MB LED数字时钟 数字时钟 FPGA verilog
1
基于EDA的数字时钟设计,很好的源代码,已经经过调试了,可以直接运行。
2021-06-04 18:42:57 177KB eda 数字时钟 时钟 报告
1
用VHDL写的多功能数字万年历,计时及年份
2021-06-04 16:24:14 775KB VHDL 数字时钟 万年历
1
数字时钟课程设计,用到振荡器;分频器;计数器;译码器;显示管等仪器,要的来下。
1