最新CCNP实验手册_完整版.pdf
2021-12-14 09:20:01 37.99MB CCNP 实验手册
1
一、 实验内容 利用VHDL语言设计一个4位8421码到4位格雷码的编码器。 使用波形图仿真验证其功能。 library ieee; use ieee.std_logic_1164.all; entity text1 is port(d0,d1,d2,d3: in std_logic; q0,q1,q2,q3: out std_logic); end entity text1; architecture rtl of text1 is begin q0<=d2 xor d3; q1<=d1 xor d2; q2<=d0 xor d1; q3<=d0; end architecture rtl;
2021-12-12 15:28:24 4.63MB 数字逻辑 实验报告
1
packet tracer 实验手册指南 帮助PT初学者功能更好的更快的学习。
2021-12-10 10:26:23 9.74MB packet tracer 实验手册指南
1
霍亚飞编*的这本《Qt Creator快速入门(第3 版)》是基于Qt Creator集成开发环境的入门书籍,详细介绍了Qt Creator开发环境的使用和Qt基本知识点的应用。本书内容主要包括Qt的基本应用,以及Qt 在图形动画、影音媒体、数据处理和网络通信方面的应用内容。与第2版相比,本书使用Z新的Qt 5.6.1和 Qt Creator 4.0.1进行了全书修订,主要重写了3D 绘图、影音媒体、WebKit等章节;添加了Qt 5框架介绍、将Qt 4代码迁移到Qt 5等相关附录,从而帮助初学者快速入门Qt 5编程。 本书内容全面、实用,讲解通俗易懂,适合没有 Qt编程基础、有Qt编程基础但是没有形成知识框架以及想学习Qt某一方面应用的读者,也适合想从Qt 4跨入Qt 5编程的读者。对于想学习QML及Qt Quick编程的读者,可以学习《Qt5编程入门》一书;想进一步学习Qt开发实例的读者,可以学习《Qt及Qt Quick开发实战精解》一书
2021-12-02 15:20:57 172.64MB Qt
1
2015年某培训机构的数据中心DC的版本资料,附带解法和拓扑图
2021-11-28 20:41:09 1019KB ccie 数据中心 资料 实验手册
1
此课件为核心+选修两套PPT课件及两套配套实验手册,完整版的Datacom配套两个方向的课件一个必考核心+一个选考网络工程师方向(HCIP-Datacom-Core Technology+Advanced Routing & Switching Technology)+ 配套2个科目的手册
2021-11-27 18:01:27 68.26MB HCIPDatacomPPT DatacomPPT 网络工程师
HCIA-AI V3.0预计于2020年4月13日正式中国区发布。主要面向使用华为产品的用户、合作伙伴工程师、内部工程师、高校学生以及ICT从业人员等。请参考产品清单中的《HCIA-AI V3.0 设备清单》及《HCIA-AI V3.0实验环境搭建指南》进行设备准备及实验环境搭建。
2021-11-25 20:00:43 2.94MB 人工智能 华为 HCIA-AI 实验
1
目录: 01 IP和TCP抓包分析实验 02 Telnet配置实验 03 H3C配置管理实验 04 Vlan和Trunk实验 05 STP实验 06 交换机端口安全实验 07 链路聚合实验 08 IP子网划分计算 09 DHCP实验 10 DHCP中继实验 11 IPv6实验 12 单臂路由实验 13 三层交换实验 14 静态路由实验 15 RIP实验 16 OSPF实验 17 ACL实验 18 NAT实验 19 PPP实验 20 H3CNE综合实验
2021-11-23 13:05:13 2.46MB h3c H3CNE
希望成为云计算工程师的人员 希望获得HCIP-Cloud Computing OpenStack认证的人员 希望具备OpenStack日常运维、故障处理能力的人员
2021-11-21 21:58:17 23.02MB Cloud_Computing OpenStack
1