24秒计时器 VHDL entity timer is port( clk : in std_logic; rst : in std_logic; pause : in std_logic; hit : in std_logic; sec1 : out std_logic_vector(5 downto 0); sec2 : out std_logic_vector(7 downto 0); sec1_pause : out std_logic_vector(5 downto 0); sec2_pause : out std_logic_vector(7 downto 0) ); end timer;
2021-10-26 16:59:27 2KB VHDL 计时器
1
会议PPT播放计时器
2021-10-18 18:01:37 461KB PPT 计时器
1
Pomidor是一个简单和很酷的番茄钟技术计时器
2021-10-17 21:48:44 122KB Linux开发-其它
1
好用计时器软件.exe
2021-10-14 18:04:08 74KB 计时器 计时
1
利用Qt实设计计时器Timer类,可以实现开始计时、停止计时、暂停计时以及打点等功能。
2021-10-14 12:04:37 6KB qt c++
1
软件简介: ----- 此软件是一款免费绿色倒数计时软件。具有体积小巧,功能贴心等优点。 本程序非常适合各企、事业单位经常举办一些知识竞赛等的倒数计需求。 软件特点: ----- 1.软件小巧,使用简单,易于操作。 2.功能全面,可订制性强 3.软件分最大化、普通、最小化三个窗口,窗口间可以自由切换,这种设计可以满足所有需要倒计时的场合。 4.本软件界面的配色方案考虑到了大屏幕的显示效果,因此,将本软件输出到大屏幕,效果更佳。
2021-10-12 20:15:02 359KB 计时器
1
更新的计时器
2021-10-12 19:04:25 217KB 倒计时 秒表 计时器 vb.net
1
更好的计时器,源程序------
2021-10-12 19:04:24 64KB 计时器 vb.net
1
数电课程设计-篮球12分24秒计时器.pdf
2021-10-09 15:02:18 2.08MB 毫秒计算器
秒表时钟计时器的设计(Timer).pdf
2021-10-09 15:02:03 1.72MB 毫秒计算器