基于FPGA的简单VGA接口驱动,代码用Verilog,经验证测试好用。
2021-09-09 12:38:41 359KB FPGA
1
vga控制器的verilog代码 很好用的……不是简单的色带……
2021-09-08 21:47:37 634KB vga verilog
1
本资源VGA显示器接口控制程序设计代码及实验报告,希望对大家有用
2021-09-08 21:35:40 18.43MB verilo 组成原理 VGA 代码与实验报
1
用VHDL语言编写的彩条显示,部分程序如下: architecture behave of VGA is -- horizontal timing signals constant h_data: integer:=640; constant h_front: integer:=16; constant h_back: integer:=48; constant h_sync: integer:=96; constant h_period: integer:= h_sync + h_data + h_front + h_back; -- vertical timing signals constant v_data: integer:=480; constant v_front: integer:=11; constant v_back: integer:=32; constant v_sync: integer:=2; constant v_period: integer:= v_sync + v_data + v_front + v_back; signal henable, venable : std_logic; signal clk50M : std_logic; signal hcnt: std_logic_vector(9 downto 0); -- horizontal pixel counter signal vcnt: std_logic_vector(9 downto 0); -- vertical line counter
2021-09-08 14:14:51 2KB VGA
1
竖条显示 process(hcnt,vcnt) begin if hcnt>=143 and hcnt<=223 then GRB223 and hcnt<=303 then GRB303 and hcnt<=383 then GRB383 and hcnt<=463 then GRB463 and hcnt<=543 then GRB543 and hcnt<=623 then GRB623 and hcnt<=703 then GRB703 and hcnt<=783 then GRB<="111"; end if; end process;
2021-09-08 10:41:32 1KB 彩条
1
本文是基于fpga的竖条,横条,棋盘格用按键控制变换的。
2021-09-08 10:38:50 1KB fpga,vhdl
1
VHDL实现VGA彩条显示 VHDL实现VGA彩条显示
2021-09-08 10:01:15 50KB VHDL实现VGA彩条显示
1
上传两个VGA显示的代码及教程 希望对新手有用教程
2021-09-08 09:50:23 560KB VGA 显示教程 代码
1
虽然现在的显示屏大多已经采用DVI和HDMI方案,但其实VGA在另一个地方还有应用,那就是大屏的LCD。目前4.3寸以上的TFT基本都是VGA接口,这样在完成一个FPGA系统设计时,选择一个VGA接口的TFT用来显示便是最简单方便的方案。
2021-09-07 18:40:44 196KB FPGA VGA 文章 技术应用
1
基于FPGA的碰碰球游戏,通过VGA在1280x1024分辨率的液晶显示器上显示,主控芯片用的是ALTERA公司的 Cyclone2 系列EP2C5T144,通过两个按键来控制游戏,在数码管上显示得分情况,并且带有背景音乐(PS:背景音乐没有处理好,声音不是太正
2021-09-07 15:35:55 1.31MB FPGA 碰碰球游戏 VGA显示 1280x1024
1