主要讲述了INIT进程的代码和配置文件的分析,详细分析了init的启动过程。
2021-10-16 17:34:45 373KB Linux-init-process-analyse.pdf
1
1、本代码基于GPML工具箱V4.2。 2. 提供了两个demo(多输入单输出&多输入多输出)。 3. 使用 feval(@function name) 查看函数中超参数的数量。 例如: K > > feval (@covRQiso) 答案 = '(1 + 1 + 1)' 它表明协方差函数 covRQiso 需要 3 个超参数。 因此,3 使用优化函数最小化时需要初始化超参数。 意义每个超参数的范围和范围在每个函数的描述中都有详细的解释。 4. 不同的似然函数对推理函数的要求不同,可见详细信息 ./gpml-matlab-v4.2-2018-06-11/doc/index.html 或 ./gpml-matlab-v4.2-2018-06- 11/doc/manual.PDF。
2021-10-15 13:40:14 1.85MB matlab
1
该软件包包含在Cranfield多相流设施中收集的数据集,旨在用作统计过程监视的基准案例。 它包括对这些数据集的典型变量分析 (CVA) 的一些示例。
2021-10-11 19:28:39 32.21MB matlab
1
[最新]检查exe和dll依赖关系的工具, depends.exe, Process Explorer
2021-10-09 14:50:25 1.64MB depend Proces
1
Activity_boot_process_analysis_201407231537.pdf
2021-10-08 16:46:19 379KB Activity boot process
1
VHDL中,在两个Process中对同一个信号赋值,要做那些事情?对两个PROCESS问题,可以用中间信号作传递完成:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;entity dou is port ( clk1 : in std_logic; clk2 : in std_logic; q : out std_logic_vector(0 to 3) );end dou;
1
面向对象编程方法说起来容易做起来难,什么样的面向对象设计是好的?怎样用面向对象的方法设计软件?这深入浅出的书就是一本独孤“面向对象”谱。
2021-10-07 17:39:40 3.8MB 面向对象 思维方法 思想 软件设计
1
SAP PI 详细培训,页数179页,2015年10月10日编制 1、PI overview 2、system landscape directory 3、integration repository 4、mapping techniques 5、....
2021-10-07 11:46:23 5.81MB SAP PI process inte
1
image_process:图像处理工具
2021-10-06 13:29:32 1.44MB Python
1
内含SPSS的process插件,压缩包里边有安装教程的pdf文件,也有template.pdf文件,是Process对应的model。
2021-10-04 12:42:47 3.35MB 统计 问卷分析
1