EDA技术及应用课程相关实验:3-8译码器及4-16译码器
2021-02-27 14:01:23 870KB EDA 实验 代码 quartusII
1
EDA技术及应用课程相关实验:8-3编译器
2021-02-27 14:01:23 12KB EDA 代码 实验 quartusII
1
EDA技术及应用课程相关实验:顺序脉冲发生器
2021-02-27 14:01:22 385KB EDA 代码 quartusII VerilogHDL语言
1
EDA技术及应用课程相关实验:十进制计数器
2021-02-27 14:01:22 12KB EDA 实验 代码 quartusII
1
EDA技术及应用课程相关实验:流水灯实验
2021-02-27 14:01:21 999KB EDA 代码 FPGA quartusII
1
EDA技术及应用课程相关实验:按键控制LED实验
2021-02-27 14:01:21 38KB EDA FPGA 源码 quartusII
1
EDA技术及应用课程相关实验:蜂鸣器实验
2021-02-27 14:01:20 33KB EDA FPGA 源码 quartusII
1
温湿度传感器DHT11 FPGA verilog驱动代码 Quartus II 13.0工程文件, FPGA读传感器温度数据并通过3位数码管显示。
epm240 72路三色LED灯板流水显示cpld逻辑VERILGO源码,器件位ALTERA-CPLD EPM240T100C5, quartus ii 10.1逻辑源码工程文件。
1
EPM240 CPLD UART串口通信 verilog Quartus ii 工程源码, 逻辑芯片为EPM240T100C5, quartus ii 10.1逻辑源码工程文件, verilog上电蜂鸣器响一声,3个LED灯闪烁,然后串口数据收发,串口波特率11520,1起始位8数据位1停止位,数据通信协议:发送55 F1 01 (DATA) FF 32路GPIO中的一路输出高,接收数据返回: AA AA BB CC DD 完整的quartus ii 10.1工程文件,可以做为你的设计参考。