自己写的Verilog 用case语句计算频率,在20ns 完成sram的读写,整个工程,xilinx ise 编译测试通过,
2023-05-19 20:31:12 373KB Verilog sram 读写 xilinx
1
用TLC5602高速DA设计基于FPGA的DDS的代码
2023-05-19 15:42:17 1.04MB TLC5602 verilog
1
verilog实例,编程100例,可提供新手参考
2023-05-12 21:48:53 43KB verilog实例
1
用verilog实现3——8译码器,经测试可用
2023-05-10 22:59:40 678B 3——8译码器
1
verilog 写的模拟卡式电话计费器,QUARTUS II编译
2023-05-07 15:22:34 96KB verilog
1
Verilog 语言基础
2023-05-06 22:08:19 1.11MB verilog fpga
1
硬件采用Nexy4 Artics-7
2023-05-04 17:21:48 5.33MB verilog 自动售货机
1
本人花了一年写的代码、、都可以用、、希望大家喜欢
2023-05-04 15:37:59 7.95MB 大量程序代码
1
matlab实现傅里叶变换代码Verilog中的Hilbert变换 Verilog中离散Hilbert变换(在信号处理中经常使用)的顺序实现。 包括了整个Xilinx项目,其中一些支持MATLAB代码,以进行十进制到二进制和二进制到十进制的转换,以及绘制输出的图形。 它以32点作为输入(每个输入为32位线),并给出32点(在进行hilbert变换之后)。 这是我花了7天的时间编写的,在此期间,我第一次学习了verilog,快速傅立叶变换算法和其他一些东西。 结果,这种实现方式肯定不是很优雅。 然而,该代码确实在2014年Techkriti年度FPGA设计挑战赛中获得了二等奖,该挑战是IIT-Kanpur年度技术节。
2023-05-01 15:43:29 3.35MB 系统开源
1
基2,8点DIT-FFT,三级流水线verilog实现,输入采用32位输入,计算精度较高,且注释清楚,方便参考。
2023-04-29 14:08:59 6KB fft算法硬件化 fft 算法
1