VHDL设计的一个4位二进制加法器,实现两个4位二进制数相加
2021-09-29 12:51:00 174KB VHDL Quartus 加法器
1
设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。
2021-09-24 13:13:18 57KB EDA-vhdl
1
VHDL语言100例详解.pdfVHDL语言100例详解.pdf
2021-09-22 14:21:39 6.89MB VHDL 语言 100例 详解
1
明德扬至简设计与应用-FPGA实验手册和B站视屏配套,并且有详细的动手项目实践,附代码。非常适合刚开始学习FPGA的小伙伴,手册适合入门学习,后多做项目慢慢熟练。
2021-09-20 22:04:47 30.03MB fpga VHDL
1
用vhdl语言描述的通信中调制解调技术的代码实现。包括文档,代码。
2021-09-19 22:29:16 1.54MB QPSK VHDL
1
VHDL语言100例,包含所有学习必用的模块,让你从VHDL菜鸟变成高手. 实在是舍不得上传啊,还是拿出来共享一下资料吧
2021-09-16 17:28:13 232KB VHDL
1
支持多种波特率,完整的基于quartus13的串口通信工程,修改端口可直接在fpga上运行。uart_tx.vhd为接收,uart_rx.vhd为发送,led.vhd为ls595接入的6位串行数码管。
2021-09-16 11:16:44 4.31MB vhdl serial
1
用VHDL语言编写的彩条显示,部分程序如下: architecture behave of VGA is -- horizontal timing signals constant h_data: integer:=640; constant h_front: integer:=16; constant h_back: integer:=48; constant h_sync: integer:=96; constant h_period: integer:= h_sync + h_data + h_front + h_back; -- vertical timing signals constant v_data: integer:=480; constant v_front: integer:=11; constant v_back: integer:=32; constant v_sync: integer:=2; constant v_period: integer:= v_sync + v_data + v_front + v_back; signal henable, venable : std_logic; signal clk50M : std_logic; signal hcnt: std_logic_vector(9 downto 0); -- horizontal pixel counter signal vcnt: std_logic_vector(9 downto 0); -- vertical line counter
2021-09-08 14:14:51 2KB VGA
1
用于生成多阶FIR滤波器系数 在QuantusII平台下实现FPGA仿真
2021-09-07 19:17:56 27KB FIR dagen.exe VHDL
1
eda课程设计vhdl语言的简易出租车计费器设计
2021-09-07 15:45:17 104KB EDA课程设计、简易出租车计费器
1