8通道,16位AD转换芯片AD7606使用Verilog实现,调试完成,可用
2021-04-09 20:29:39 17KB AD7606 Verilog FPGA
1
vivado2017.4工程,ZYNQ PL采集AD7606,做FFT
2021-04-07 20:03:14 1.12MB ad7606 FFT
1
AD7606/7607中文和英文两份应用笔记,帮助开发者快速开发。
2021-04-01 17:33:22 323KB AD转换器 嵌入式开发
1
AD7606 数据转换的veriolg hdl 语言描述与详解
2021-03-30 18:49:59 3.69MB AD7606 FPGA
1
ad7606采样程序,已经批量应用,完整的例化范例。可以直接使用。
2021-03-27 22:02:59 32KB vhdl
1
AD7606资料,FSMC+PWM方式
2021-03-27 20:07:16 1.27MB stm32
1
ad7606应用代码,keil工程,aduc7026(arm7)处理器
2021-03-27 09:11:02 81KB ad7606
1
AD7606为16位ADC数据采集模块,用stm32控制AD7606采样,spi串口通信
2021-03-25 15:30:26 6KB stm32 ad7606 spi接口通信
1
STM32F103,STM32F407驱动AD7606的资料,包括FSMC与SPI两种通讯方式读取数据。
2021-03-22 20:05:53 29.92MB AD7606 STM32F103 STM32F407 AD7606驱动程序
1
DSP28335+ AD7606核心板 PCB 原理图,AD格式
2021-03-21 09:06:28 1.06MB dsp核心板PCB
1