这是我用VHDL写的一个音乐播放器,里面自动播放中音的1234567与高音的1234567,系统时钟是50M,大家感兴趣的可以看一下
2019-12-21 20:46:33 6KB 音乐播放原理 CHDL
1