摘 要:为了有效防止机械式键盘按键抖动带来的数据错误,这里在Quartus Ⅱ开发环境下,采用VHDL 语言设计了一种能够将机械式4 ×4 矩阵键盘的按键值依次显示到8 个7 段数码管上的矩阵键盘及显示电路。仿真结果表明,所设计的矩阵键盘及显示电路成功地实现了按键防抖和按键数据的准确显示。以ACEX1K系列EP1K30QC208 芯片为硬件环境,验证了各项设计功能的正确性。   FPGA/ CPLD 在数字系统设计中的广泛应用,影响到了生产生活的各个方面。在FPGA/ CPLD 的设计开发中,V HDL 语言作为一种主流的硬件描述语言,具有设计效率高, 可靠性好, 易读易懂等诸多优点 。作为
1
4X4矩阵键盘及显示电路设计.doc
2022-02-16 09:02:58 375KB
摘 要:为了有效防止机械式键盘按键抖动带来的数据错误,这里在Quartus Ⅱ开发环境下,采用VHDL 语言设计了一种能够将机械式4 ×4 矩阵键盘的按键值依次显示到8 个7 段数码管上的矩阵键盘及显示电路。仿真结果表明,所设计的矩阵键盘及显示电路成功地实现了按键防抖和按键数据的准确显示。以ACEX1K系列EP1K30QC208 芯片为硬件环境,验证了各项设计功能的正确性。   FPGA/ CPLD 在数字系统设计中的广泛应用,影响到了生产生活的各个方面。在FPGA/ CPLD 的设计开发中,V HDL 语言作为一种主流的硬件描述语言,具有设计效率高, 可靠性好, 易读易懂等诸多优点 。作为
1
摘要:为了有效防止机械式键盘按键抖动带来的数据错误,这里在QuartusⅡ开发环境下,采用VHDL语言设计...
2021-11-29 15:33:28 769KB VHDL
1
vhdl实现的4*4矩阵键盘译码显示,可实现移位显示,
2020-01-03 11:24:16 490KB 键盘 4*4 译码显示 vhdl
1