本文首先提出了一种基于有限状态机的电梯控制器算法,然后根据该算法设计了一个三层电梯控制器,该电梯控制器的正确性经过了仿真验证和硬件平台的验证。本文的电梯控制器设计,结合了深圳信息职业技术学院的实际电梯的运行情况,易于学生理解和接受,对于工学结合的教学改革,是一个非常好的实践项目。另外,本文提出的电梯控制器算法适合于任意楼层,具有很强的适应性和实用性。
2024-03-23 13:45:36 291KB FPGA 电梯控制器系统 课设毕设
1
·1.内容简介: --------------------------------------------------------------- 基于FPGA的自动升降电梯控制器设计 pdf 设计论文 --------------------------------------------------------------- ·2.资源使用方法说明 无 --------------------------------------------------------------- ·3. wogeguaiguai的附言: 1.我的其他数学建模比赛和全国电子设计竞赛精华资源也欢迎您下载,大学生基本上都听过这个比赛吧,这个比赛比较有意思,而且获奖比例高。我的资料都是非常好的准备比赛要用的资料。我比赛结束之后,这些资料就不用啦,分享给大家!俺一年的搜索资源,同学们一朝即可获得! 2.下载本文件后,您可以获得所有信息,不必再零散下载,给您带来很大的方便。 3.1个资源分,绝对物超所值。评论后,您就可以获得2个资源分,欢迎您评论! --------------------------------------------------------------- ·4.如有问题,请在此留言,谢谢。 --------------------------------------------------------------- ·65.上传时间 2010-2-26-afternoon
2023-02-18 21:46:01 296KB 电梯控制器
1
电梯控制器的程序 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至执行后消除。 6、 电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼信号,由下至上依次执行,直到最后一个上楼请求执行完毕,如更高层有下楼请求时,则直接升到有下降请求的最高楼接客,然后进入下降模式,但电梯处于下降模式时,则与上升模式相反。 7、 电梯初始状态为一层门开。
2022-12-20 21:50:03 11KB VHDL
1
设计一个16层电梯控制器,分为主控制器和分控制器。主控制器是电梯内部的控制器,每层电梯入口处有一个分控制器。 主控制器的功能如下: (1) 在电梯开关打开时响应要求,否则不响应; (2) 电梯初始位置是1层; (3) 电梯运行时,指示方向和当前所在楼层; (4) 电梯每秒升/降1层; (5) 当电梯到达所请求的楼层时,自动开门,等待5秒后自动关门,继续运行,如果没有请求信号,停留在当前楼层; (6) 收到请求后,自动到达用户所在楼层,自动开门; (7) 记忆电梯内外所有请求,并按电梯运行顺序执行,在执行后清除请求; (8) 电梯运行规则:当电梯处于上升状态时,仅响应比电梯位置更高的用户请求;当电梯处于下降状态时,仅响应比电梯位置更低的用户请求; (9) 具有提前关门和延迟关门功能。 分控制器的功能如下: (1) 设有上升请求按钮和下降请求按钮,实时检测用户按键; (2) 指示电梯当前所在楼层; (3) 当电梯到达本层时,清除请求。
2022-12-08 19:49:22 622KB EDA 实用电梯理论
1
本文介绍的是EDA中的电梯控制器的主要VHDL源程序
2022-12-08 19:45:58 12KB EDA 电梯控制器 VHDL源程序 文章
1
基于单片机的电梯控制器研究与设计.doc
2022-12-06 14:19:48 2.3MB 计算机
基于单片机的电梯控制器研究与设计.docx
2022-12-06 14:19:28 1.76MB 计算机
电梯控制器VHDL程序与仿真。 -- --文件名:dianti.vhd。 --功能:6层楼的电梯控制系统。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; -- 超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,d5,d6 : in std_logic; --电梯内人的请求信号 g1,g2,g3,g4,g5,g6 : in std_logic; --到达楼层信号 door : out std_logic_vect
2022-06-20 18:04:33 256KB 文档资料
电梯控制器的VHDL程序设计与仿真
2022-06-15 12:05:21 2.41MB 文档资料
基于esp32的智能电梯控制器.zip
2022-06-02 09:07:26 551KB 源码软件