verilog编程,红外线接收测试 用市面上通用的(只需几元)的红外线遥控器,对准板上U10,按下1-9数字键,在数码管上显示对应数字。
2022-09-23 17:00:18 2KB verilog红外 verilog_红外 红外遥控
基于正点原子开拓者FPGA开发平台的红外驱动源码,红外NEC编码,使用教程https://blog.csdn.net/qq_41990237/article/details/109802145
2022-02-14 16:09:07 5KB fpga verilog
1
用FPGA实现的智能小车,使用verilog语言编写,小车可以实现自动避障,超声波测距等功能,可以用红外遥控器控制小车的前进、后退、左转、右转等动作。
2021-05-20 17:43:48 36.78MB FPGA、verilog、红外、 超声波 veriolog
1