spyglass 基础操作与常见错误lint.doc
2023-10-04 13:46:43 4.62MB spyglass lint
1
spyglass详细安装教程
2022-11-17 12:39:49 553KB spyglass
1
Spyglass初阶教程(包含5个实验)
2022-06-08 17:06:58 3.03MB 课程资源
1
Spyglass使用教程实验源码
2022-06-08 17:02:59 105KB 源码软件
1
SpyGlass_LintRules_Reference
2022-06-07 18:00:59 2.98MB 工具 spyglass
1
随着数字系统复杂度的提高,系统芯片中集成的模块数量增加。各模块通常 工作在不同的时钟频率下,对各系统之间的数据CDC(Clock Domain Crossing) 通信需要进行同步设计。对于不同时钟域和电压域的情况,对CDC 同步设计的 要求不同。对于这些CDC 传输路径以及同步设计的检查验证,在整个设计流程 中的作用日渐凸显。目前还没有一套比较成熟、完善的验证手段,能在设计早期 RTL 级就能完成CDC 的验证工作。
2022-05-05 23:25:34 1.85MB spyglass cdc
1
Spyglass进行CDC检查的介绍
2022-02-28 11:13:14 1.14MB spyglass cdc ic
1
规则检查一般步骤 设计输入、基本设置 选定目标、运行 结果分析 输入源文件、约束、库等相关文件 设置设计语言、宏、参数、blackbox、扩展名等 选择一个(多个)目标,目标规则、参数设置 运行所选目标 通过点击具体报告定位错误 借助原理图、波形定位错误 举例讲解实际操作步骤…
2022-02-22 10:01:54 1.14MB spyglass cdc ic
1
SpyGlassQuickGuide,spyglass官方文档,介绍工具使用流程,cdc的环境搭建以及debug措施
2021-10-23 11:59:26 173KB spyglass CDC
1
本论文通过分析CDC传输中的亚稳态机理,总结了各种同步设计的优劣以及传统验证方法在CDC检查中的弊端,提出并搭建了一套基于SpyGlass的CDC静态验证流程
2021-09-29 13:51:39 13.27MB CDC
1