使用OpenRAM和SKY130 PDK设计1024x32 SRAM(32Kbits) 该存储库旨在使用Google SkyWater SKY130 PDK和OpenRAM存储器编译器设计具有1.8 V工作电压且访问时间小于2.5ns的1024x32 SRAM单元阵列(32Kbits或4KB)。 目录 SRAM单元设计简介 如今,静态随机存取存储器(SRAM)已成为任何专用集成电路(ASIC),片上系统(SoC)或其他微体系结构的标准元素。 对于各种各样的应用,可以使用诸如字长,位线,工作电压,访问时间,最重要的是技术节点等参数来配置SRAM。 SRAM单元的访问时间是SRAM读或写操作所需的时间。 为每个参数更改手动配置SRAM似乎是效率低下且乏味的任务。 由于这个原因,内存编译器由于易于配置和优化内存而被大量使用。 OpenRAM是一种开源存储器编译器,用于表征和生成SRAM设计。
2022-01-12 23:37:16 4.51MB openram sky130 sram-memories Python
1
apd_openlane_sky130 使用OpenLANE / Sky130进行高级物理设计-知识库(Nuno Antunes)( ) 第1天注意事项: 高清高密度fd铸造厂sc标准电池 ss慢慢ff快快tt典型 XXXC温度LVXX电压 第2天注意事项: FF =触发器/车床/电阻器 A1,I1 =标准储存格(AND / OR / NOT) 尺寸基于标准单元/触发器的大小。 放置逻辑的核心,以及制造核心的半导体材料。 核心利用率 利用率=网表占用的面积/核心的总面积。 理想情况下,使用利用率为0.5 / 0.6。 长宽比=高度/宽度 预先放置的细胞 可重复使用的组合逻辑“黑匣子”作为IP或模块。 仅输入/输出在外部定义。 示例:存储器,时钟门控单元,比较器,复用器。 芯片中预先放置的单元/ IP的排列称为布局规划 在执行自动布局和路由之前的预定义位置 位置取决于设计
2021-10-20 19:42:15 4KB
1
带隙ref-on-sky130 在Skywater的130nm pdk上实现带隙基准电路。 带隙参考设计 目录 介绍 这里提出了在Skywater的开源Craft.io设计套件(pdk)上实现CMOS带隙参考电路的示例。请查看reports文件夹中的'Stage_1_Introduction_to_BGR.pdf'文件以获取更多信息。 要求 设计规范和要求列在“ bandgap_circuit_requirements.pdf”中。 工具 开源工具用于设计和模拟带隙基准电路。 XSCHEM XSCHEM是一种原理图捕获和网表EDA工具。除了Xschem,还使用了Xschem_sky130版本,该版本经过优化可与Skywater的130nm pdk一起使用。请检查此链接以获取更多信息, 尽管不需要XSCHEM在此存储库中运行模拟文件,但可以使用内置的ngspice工具安装它并支持sky
2021-06-11 22:05:52 124.15MB PostScript
1