绍了FPGA调试过程中遇到的一些基本问题的介绍,和一些问题的解决办法
2024-02-28 08:16:15 58KB FPGA 时钟毛刺问题 FPGA
1
大型设计中FPGA的多时钟设计策略 VHDL 经典时钟设计
2022-09-20 20:00:15 170KB fpga时钟 时钟设计
12/24制切换闹铃时钟,12/24双模式切换,可调,可设置闹钟,VHDL语音,Quartus ii开发环境
2022-04-07 12:39:17 1.58MB FPGA 时钟课设 VHDL
1
摘 要 首先分析了数字时钟恢复电路的原理,然后介绍一种利用XILINX FPGA内部数字延时锁定回路DLL的倍频功能,从 接收的异步数据中恢复数据时钟的方法。
2022-03-26 15:24:07 140KB FPGA 时钟恢复 CDR
1
FPGA ,LMK04821
2022-01-12 14:07:49 20KB LMK04821 FPGA 时钟芯片
1
FPGA时钟 VHDL语言实现,使用 quartus 9.1 完成,该软件打开可直接上板测试。
2021-11-17 13:53:14 1.42MB FPGA VHDL 时钟
1
多时钟域下同步器的设计与分析, 多时钟域下同步器的设计与分析,
2021-09-10 11:26:22 209KB FPGA 时钟
1
本资料归于网络整理,仅供参考学习用。如有侵权,请联系删除!! qq:1391074994 1. 资料都是有论文和程序的,程序大部分是quartus的工程,有几个是ise或者vivado的工程,代码文件就是里面的V文件。 2. 我收集的每个小项目都会开源出来,欢迎关注我的博客并下载学习。 3. 每个项目的实际的项目要求和实现的现象我就不挨个去描述了,太多了!!40多个小项目。(一个包里面只有一个小项目哈) 4. 有的项目可能会有多个程序,因为用的代码有点差异,比如密码锁,就会分显示的数码管的显示个数的不同以及用的是verilog个vhdl 的差别: 5. 报告的话博客专栏里面只是展示了一小部分。链接:https://blog.csdn.net/weixin_44830487/category_10987396.html?spm=1001.2014.3001.5482 部分功能说明:主要功能要求: 1、电子时钟。要求用24 时制显示。分屏显示“时、分”和“分、秒”,即4 个数码管不能同时显示“时、分、秒”,但可以只显示“时、分”,或只显示“分、秒”,通过按键来切换这两种显示方式。用数码管的小数点“.”代替时、分、秒的分隔符“:”。可设置时间。设置时间时,当前设置的“时”/“分”,相应的数码管应闪烁。 2、秒表(计时器)。秒表精度为0.01 秒,计时范围0~99.99 秒,用4 个数码管显示,两个显示秒,两个显示百分秒,有暂停/继续、重置(清零)按钮。 3、定时器。可以实现0~9999 秒定时。设置一定时值,当计时到达设定值时输出LED 闪烁。有设置、暂停/继续、清零定时按钮。
2021-06-26 09:02:39 9.52MB fpga
1
基于FPGA实现秒表,8位数码管实现,verilog代码,已下载实现
2021-06-16 22:15:18 8KB FPGA 时钟 秒表
1
基于时钟频率调整的时间同步方法,实现简单,而且没有复杂的软件同步协议,占用较小的网络带宽就可以实现高精度的时钟同步,在硬件上只需要低成本的FPGA支持。
2021-05-12 22:19:38 318KB FPGA 时钟频率设计 时钟同步 有源晶振
1