具有APB-BFM的DAC和ADC模型的UVM验证 这是一个小组项目。 具有APB BFM(总线功能模型)的UVM验证,已连接到两个只读DAC和两个只读ADC从器件。 该序列生成地址,并允许驱动程序告诉BFM选择哪个从站。 随后,四个监视器和记分板记录每个从站的测试结果。 top.sv顶部模块,包括测试,序列项,定序器和驱动程序 seq.svh序列 bfm_env.svh总线功能模型作为环境 intf.svh dac介面 adc_intf.svh adc接口 dac.sv给定的dac adc.sv给定的adc monitor1.svh DAC1监视器 monitor2_dac.svh DAC2监视器 monitor1_adc.svh ADC1监视器 monitor2_adc.svh ADC2监视器 记分板1.svh DAC1记分板 scoreboard2_dac.svh DAC2记分
2024-03-12 16:57:45 15KB SystemVerilog
1
 本文使用Verilog语言实现SM4加密协处理器: 使用Verilog完成XTEA/AES/SM4/MD5/SHA-1基本模块; 定义所需寄存器,添加APB总线接口,完成兼容APB总线的SM4协处理器设计;
2024-03-11 11:32:31 37KB 编程语言
1
APB3&APB4官方协议标准文档.zip
2023-09-06 14:36:24 465KB APB 协议 官方文档
1
APB_SPI_Top.v
2023-04-16 14:03:42 5KB
1
APB/AHB-lite/AXI/ACE /CHI
2023-03-14 19:55:22 9.87MB AMBA APB AHB AXI
1
DW_apb_gpio_databook的资源,用于Synopsys的coreConsultant仿真
2023-03-11 23:10:46 971KB Synopsys coreConsultant APB总线 仿真
1
文档结构 第一章 AMBA总线简介 第二章 AMBA信号 第三章 AMBA AHB 第四章 AMBA ASB 第五章 AMBA APB 第六章 AMBA 测试方法
2023-02-03 10:31:04 1.22MB AMBA AHB APB ASB
1
AHB AXI APB ARM AMBA 代码实现 Verilog程序 AHB AXI APB ARM AMBA 代码实现 Verilog程序 AHB AXI APB ARM AMBA 代码实现 Verilog程序 AHB AXI APB ARM AMBA 代码实现 Verilog程序 AHB AXI APB ARM AMBA 代码实现 Verilog
2023-01-25 16:03:15 2.97MB AMBA verilog IC
1
While(1)//死循环 { 任务 MyTask 实体代码; OSTimeDlyHMSM(x,x,x,x);//调用任务延时函数,释放 cpu 控制权, } } 假如我们新建了 2 个任务为 MyTask 和 YourTask,这里我们先忽略任务优先级的概念,两个 任务死循环中延时时间为 1s。如果某个时刻,任务 MyTask 在执行中,当它执行到延时函数 OSTimeDlyHMSM 的时候,它释放 cpu 控制权,这个时候,任务 YourTask 获得 cpu 控制权开 始执行,任务 YourTask 执行过程中,也会调用延时函数延时 1s 释放 CPU 控制权,这个过程中 任务 A 延时 1s 到达,重新获得 CPU 控制权,重新开始执行死循环中的任务实体代码。如此循 环,现象就是两个任务交替运行,就好像 CPU 在同时做两件事情一样。 疑问来了,如果有很多任务都在等待,那么先执行那个任务呢?如果任务在执行过程中, ALIENTEK 战舰STM32开发板 www.openedv.com
2022-12-15 09:22:43 48.5MB stm32 库函数
1