While static timing analysis (STA) with PrimeTime®(*) (PT) is always analyzing each individual mode and corner of a design in an individual run (or in case of distributed multi scenario analysis (DMSA) in a separate subprocess), the traditional implementation flow applies one common constraining – frequently called umbrella mode constraining – for all modes and corners of the design. This limitation mainly imposed by the incapability of the implementation tools Design Compiler®(**) (DC) and IC Compiler®(***) (ICC) is overcome now. Design Compiler® can handle multiple constraint sets and optimize for multiple corners (multi-mode multi-corner (MCMM))
2022-05-29 19:56:32 263KB MCMM synthesis Design compiler
1
mcmm-logs
2021-02-17 18:05:55 415KB
1