HDB3解码Verilog程序源码,hdb3_decode.v,module hdb3_decode(rst_n,clk,hdb3_in,hdb3_dec,fifo_dec); input rst_n,clk; input [1:0] hdb3_in; output hdb3_dec; output [9:0] fifo_dec;
2020-01-03 11:19:38 425KB HDB3解码
1
matlab实现HDB3解码译码的程序 等等等!!!!!!!!!!!!
2019-12-21 20:17:21 31KB 啊啊
1