软件实现了在4.3寸LCD左上角显示一个数字时钟,针对VGA/LCD控制时许有一定基础的人群。开发环境为Quartus13.1,使用4.3寸LCD(RGB565接口)。整个软件主要由timer产生小时、分钟数值,经过BCD转换后输入到pic_char模块,然后将rgb输出到tft_ctl模块。
2023-03-23 14:08:53 12.95MB FPGA VGA/LCD 数字时钟 verilog
1
这是一款在VGA上显示图像的程序,是基于FPGA的芯片,用VHDL语言编写,非常实用。
2022-12-11 11:30:22 75KB FPGA VGA 图像显示
1
DE2-115 cycloneIV EP4CE115F29C7可以直接编译下载,实现VGA显示(多个分辨率:640*480—800*600—720P—1280*960—1440*900—1080P等等) 内附word说明如何修改程序实现不同分辨率 根文件源码来自于CarzyBird大神!
2022-11-24 16:42:11 3.34MB FPGA VGA Display 1920*1080@60fps
1
绍了一种人体脉搏信号的采集系统,通过专用的脉搏传感器采集信号,将得到的信号经过预处理后送到FPGA,暂存到RAM中,同时用FPGA驱动VGA接口实时显示脉搏波形。利用FPGA的片内资源RAM实现了脉搏波形图像的动态显示,实时性好、画面清晰,为后续的生理病理信息提取提供了有效支持。
2022-11-04 19:54:35 252KB 脉搏信号 FPGA VGA RAM
1
VGA图片显示,利用FPGA自带的存储存储图片,并显示在VGA上
2022-10-22 20:15:24 3.95MB FPGA VGA
1
用FPGA实现VGA控制器,在屏幕上显示红绿蓝三种条文
2022-09-21 13:00:55 276KB fpga_vga
利用FPGA控制VGA输出在CRT显示器上实现乒乓球游戏,工程在\project文件夹里面;源文件和管脚分配在\rtl文件夹里面;下载文件在\download文件夹里面,.mcs为PROM模式下载文件,.bit为JTAG调试下载文件。在xilinx xc3s400调试通过
2022-06-11 09:40:11 998KB FPGA VGA 乒乓球 verilog
1
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vgacore is Port ( clk : in std_logic; reset : in std_logic; md : in std_logic_vector(1 downto 0); hs : out std_logic; vs : out std_logic; r : out std_logic_vector(1 downto 0); g : out std_logic_vector(2 downto 0); b : out std_logic_vector(2 downto 0) ); end vgacore;
2022-05-15 20:01:20 8KB FPGA VGA 驱动 VHDL
1
想着在此基础上通过 VGA显示器显示一张图片, 利用 FPGA 内部 ROM 存储图片数据,然后通过控制读取数据地址将图片数据传给 VGA驱动模块,从而将每个图片数据显示在对应的像素点上。
2022-05-10 09:30:43 5.34MB FPGA VGA rom
1
包含两部分资源:1.USB Cy7c68013a的固件程序,在USB——project文件夹内; 2.FPGA程序,Cyclon3,EP3Cq240,程序利用了USB传递到FPGA的图像数据,而后将这些数据存储在FPGA的单口RAM内,再次利用DA转换,控制VGA时序,显示在屏幕上; 本人PCB自己设计,利用该代码完全实现了功能!是研一阶段的入门任务,老师检查,所以没有造假成分!代码有大量的注释说明,一看就能懂!
2022-04-04 15:43:13 6.75MB Cy7c68013a FPGA VGA 固件
1