对初学FPGA的人来说比较有用,好东西大家一起分享。
2021-11-04 09:36:28 1.22MB FPGA
1
FPGA很有价值的27实例(实例精选)
2021-07-08 17:27:45 1.22MB FPGA 价值 实例 精选
1
fpga很有价值的27实例教程下载LED控制VHDL程序与仿真 LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿真
2021-04-16 20:50:59 1.22MB vhdl
1
基于VHDL语言
2021-03-31 10:03:49 2.44MB FPGA 实例开发
1
VHDL程序设计课程设计FPGA很有价值设计例程文件合集: 8.10 FSK调制与解调VHDL程序及仿真.doc 8.11 PSK调制与解调VHDL程序及仿真.doc 8.12 MASK调制VHDL程序及仿真.doc 8.13 MFSK调制VHDL程序及仿真.doc 8.14 MPSK调制与解调VHDL程序与仿真.doc 8.15 基带码发生器程序设计与仿真.doc 8.16 频率计程序设计与仿真.doc 8.17 采用等精度测频原理的频率计程序与仿真.doc 8.18 电子琴程序设计与仿真 2004.8修改.doc 8.18 电子琴程序设计与仿真.doc 8.19 电梯控制器程序设计与仿真.doc 8.2 LED控制VHDL程序与仿真 2004.8修改.doc 8.2 LED控制VHDL程序与仿真.doc 8.20 电子时钟VHDL程序与仿真.doc 8.21 自动售货机VHDL程序与仿真.doc 8.22 出租车计价器VHDL程序与仿真 2004.8修改.doc 8.22 出租车计价器VHDL程序与仿真.doc 8.23 波形发生程序.doc 8.24 步进电机定位控制系统VHDL程序与仿真.doc 8.3 LCD控制VHDL程序与仿真 2004.8修改.doc 8.3 LCD控制VHDL程序与仿真.doc 8.4 ADC0809 VHDL控制程序.doc 8.5 TLC5510 VHDL控制程序.doc 8.6 DAC0832 接口电路程序.doc 8.7 TLC7524接口电路程序.doc 8.8 URAT VHDL程序与仿真.doc 8.9 ASK调制与解调VHDL程序及仿真.doc
VHDL程序设计 课程设计 FPGA很有价值的27实例
2021-02-18 15:06:00 1.23MB VHDL程序设计 FPGA很有价值 课程设计 VHDL