彻底感受到fpga功能的强大,虽然在处理乘除法的能力上fpga能力赶不上单片机,但是fpga完全可以构造出一个能力很强大的cpu,这就需要我们开动脑筋努力创造了,往后在EDA上还是要多花些功夫才行啊,呵呵!
2021-12-17 09:09:58 116KB FPGA驱动DS18b20 仿真 FPGA 文章
1
博主在这篇文章中所用资料、演示视频以及自己的工程资料都放在这里。文章网址(https://blog.csdn.net/learning1232/category_10614317.html)
2021-06-02 14:18:41 265.67MB FPGA DS18B20 NiosII
1
在Verilog语言下用FPGA驱动DS18B20,带数码管显示,带LED报警,有报警值调整功能。这个是本人调过的,原版调通代码没改的,绝对能跑通。建议用QuatusII全编译后看一下RTL图就能理解程序是怎么工作的。
2018-07-06 14:44:24 9.18MB FPGA Verilog DS18B20
1