计算机组成原理课程设计-基于EDA和FPGA技术的8位模型计算机的设计与实现 北京科技大学
2023-04-26 23:18:17 3.37MB 计算
1
学习FPGA(cycloneII)开发的技术资料包
2023-03-02 23:32:23 128KB FPGA技术资料
1
FPGA技术教程 ,快速上手,简单易懂 第一章 可编程逻辑器件发展历程 第二章 CPLD/FPGA概述 第三章 硬件描述语言VHDL/Verilog HDL简介 第四章 Quartus II的Verilog HDL建模与仿真
2022-12-07 14:31:51 5.71MB FPGA 
1
0  引  言   随着信息化和数字化的发展,现在社会中人们的生活变得更加丰富多彩,生活更加便利。但是有一种人群却不容忽视,社会上形形色色、丰富多彩的物是与他们无缘的,他们就是盲人。众所周知眼晴是“心灵之窗”,而对于突然失去或从未拥有过“心灵之窗”的盲人来说,生活上的困难与心理上的痛苦是可想而知的。他们的衣食住行存在诸多不便,而在出行与人际交往中遇到的困难更加突出,基于此设计了智能导盲犬。生活中,导盲犬习惯于颈圈、导盲牵引带和其他配件的约束;懂得“来”、“前进”、“停止”等口令;可以带领盲人安全地走路,当遇到障碍和需要拐弯时,会引导主人停下以免发生危险。但是,导盲犬的培训过程长达18个月,综
1
同步在通信系统中占有非常重要的地位,同步系统性能的高低在很大程度上决定了通信系统的质量,甚至通信的成败。相关器是同步系统的关键部件之一,因此,要求相关器须有比其它部件更高的可靠性。实际应用中,相关器可用软件实现也可用硬件电路实现,后者更适合于高速数据通信中的相关检测。本文在总结一般数字相关器设计的基础上,设计实现了一种高性能的数字相关器。
2022-10-19 14:19:32 112KB FPGA
1
人工智人-家居设计-基于FPGA技术的智能简单自适应控制算法的实现.pdf
2022-07-13 11:03:54 7.62MB 人工智人-家居
以经典的Lorenz 系统为研究对象,利用FPGA 数字信号处理技术实现 Lorenz 混沌系统,减少了外界因素的干扰. 首先,对Lorenz连续系统的方程进行分解,得到离散化状态方程,接着基于DSP-Builder软件开发平台获得系统的电路模型,该模型可直接转化为VHDL语言;其次,采用硬件描述语言( Verilog HDL)直接编程的形式,对系统进行验证,并从示波器中观测到Lorenz系统的混沌波形. 通过比较上述2种实现混沌系统的方法,总结其优缺点及适用范围,为进一步利用FPGA实现一类非线性系统及
2022-07-06 10:37:22 1.58MB 工程技术 论文
1
fpga技术入门与典型项目开发实例》配书资源.rar
2022-06-25 10:04:31 38.25MB 教学资料
很好的资料,大家可以自由复制,为初学者提供好的方法!
2022-05-24 20:12:14 6.72MB fpga
1
FPGA技术正处于高速发展时期,新型芯片的规模越来越大,成本也越来越低,低端的FPGA已逐步取代了传统的数字元件,高端的FPGA不断在争夺ASIC的市场份额。本节从FPGA软、硬件来展望未来的FPGA设计技术,给读者留一个FPGA技术的宏观轮廓。
2022-04-07 13:00:10 100KB FPGA 发展趋势 文章 单片机
1