基于FPGA的DDS信号发生器的设计,代宏伟,李浩,信号发生器在科研以及生产实践领域有着广泛的应用。传统的信号发生器通常是通过模拟电路的振荡、变换得到各种信号。由于模拟器件
2023-04-16 12:25:37 199KB FPGA
1
利用FPGA+DAC ,设计一个DDS 信号发生器分辨率优于1Hz ROM 表长度8 位、位宽10 位 输出频率 ≥ 100kHz (每周期≥10 个点) 显示信号频率/ 频率控制字 (可切换,十六进制显示, 低频时至少含1 位小数) 输入 频率控制 字设置频率 使用最低的时钟频率、 最少的N 和M 位数
2023-03-20 15:00:30 159KB 电子设计
1
基于FPGA的DDS信号发生器 自己做的一个DDS信号发生器,基本功能实现,下板验证完成,有输出文件,自己只需要改变管脚分配即可使用。工程简介: 1、硬件:Cyclone Ⅳ系列 EP4CE10F17C8 的FPGA芯片;AN9769的数模转化芯片;LCD12864液晶屏显示。 2、软件:基于Quartus Ⅱ,VerilogHDL硬件描述语言。主要有DDS主模块、赋值、按键控制、按键消抖、按键检测、参数选择、波形选择、LCD显示模块、顶层TOP。 3、内容有:01-工程文件、02-硬件连接、03-设计说明、04-参考资料。
2023-03-17 15:47:07 17KB FPGA QuartusⅡ verilog DDS
1
基于FPGA的DDS信号源的设计论文基于FPGA的DDS信号源的设计论文基于FPGA的DDS信号源的设计论文基于FPGA的DDS信号源的设计论文基于FPGA的DDS信号源的设计论文基于FPGA的DDS信号源的设计论文
2022-12-02 14:24:43 3.75MB 基于FPGA的DDS信号源的设计论文
1
使用Quartus II软件、DE2开发板完成DDS输出
2022-11-01 17:16:53 2.1MB fpga dds
1
基于FPGA的DDS信号产生器-各类波形
2022-09-16 16:22:59 21KB Verilog ISE
1
本文介绍了以直接数字频率合成技术(DDS)为基础的波形信号发生器工作原理和设计过程,并在FPGA实验平台上设计实现了满足各功能指标的信号发生器。
2022-06-12 16:14:05 95KB DDS FPGA DSP 文章
1
DDS信号源的工作原理,各种输出信号的特性,ALTERA公司的FPGA可编程器件EP4CE6
2022-05-21 16:57:41 830KB DDS 信号源 数字 基带
1
这编论文写得比较好,很详细。对DDS的原理分析得比较好,从硬件到软件有一个系统的设计过程。
2022-05-19 18:16:38 3.95MB DDS 信号源
1