AD9850+AD9851模块原理图、pcb源文件 DDS信号发生器 正弦波方波可调占空比 送STM32程序
2024-05-23 14:20:39 705KB
1
基于FPGA的DDS信号发生器的设计,代宏伟,李浩,信号发生器在科研以及生产实践领域有着广泛的应用。传统的信号发生器通常是通过模拟电路的振荡、变换得到各种信号。由于模拟器件
2023-04-16 12:25:37 199KB FPGA
1
基于FPGA的DDS信号发生器 自己做的一个DDS信号发生器,基本功能实现,下板验证完成,有输出文件,自己只需要改变管脚分配即可使用。工程简介: 1、硬件:Cyclone Ⅳ系列 EP4CE10F17C8 的FPGA芯片;AN9769的数模转化芯片;LCD12864液晶屏显示。 2、软件:基于Quartus Ⅱ,VerilogHDL硬件描述语言。主要有DDS主模块、赋值、按键控制、按键消抖、按键检测、参数选择、波形选择、LCD显示模块、顶层TOP。 3、内容有:01-工程文件、02-硬件连接、03-设计说明、04-参考资料。
2023-03-17 15:47:07 17KB FPGA QuartusⅡ verilog DDS
1
使用Quartus II软件、DE2开发板完成DDS输出
2022-11-01 17:16:53 2.1MB fpga dds
1
本文介绍了以直接数字频率合成技术(DDS)为基础的波形信号发生器工作原理和设计过程,并在FPGA实验平台上设计实现了满足各功能指标的信号发生器。
2022-06-12 16:14:05 95KB DDS FPGA DSP 文章
1
这编论文写得比较好,很详细。对DDS的原理分析得比较好,从硬件到软件有一个系统的设计过程。
2022-05-19 18:16:38 3.95MB DDS 信号源
1
摘  要:直接数字频率合成技术是一种新型的信号产生方法,是现代信号源的发展方向。该系统由FPGA 控制模块、键盘、LED 显示组成,结合DDS 的结构和原理,采用SOPC 和DDS 技术,设计出具有频率设置功能的多波形信号发生器。以Altera 公司的CycloneⅡ的器件EP2C35 为例,NIOS ⅡCPU 通过读取按键的值,实现任意步进、不同波形的输出显示功能。   0 引 言   直接数字频率合成( Dir ect Dig ital Frequency Synthesis,DDS) 是一种新型的频率合成技术,它把信号发生器的频率稳定度、准确度提高到与基准频率相同的水平,并且可以在很
2022-05-16 13:17:26 615KB 基于SOPC的DDS信号发生器设计
1
0 引 言   信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加灵活,而且还能根据要求在线更新配置,系统开发趋于软件化、自定义化。本文研究了基于FPGA的DDS信号
2022-05-09 14:32:21 267KB 基于FPGA的DDS信号发生器设计
1
基于DAC0832的DDS信号发生器报告+原理图+PCB图+程序代码+proteus的isis的仿真等文件
2022-04-06 01:26:41 171KB DAC0832 DDS信号发生器