Allegro Design Entry HDL原理图输入方式孙海峰 Design Entry HDL是Cadence公司原有的原理图设计输入系统,提供了一个全面、高效、灵活的原理图设计环境,具有强大的操作编辑功能。设计者在HDL环境中能够完成整个原理图设计流程,可以进行层次原理图和平面原理图输入、原理图检查、生成料单、生成网表等工作。HDL还能与Allegro工具很好的集成在一个工程中,可很方便的实现原理图到PCB的导入,以及PCB改动反标到原理图等交互式操作
2021-09-22 01:09:07 2.57MB ConceptHDL 原理图设计
1
FPGA FMC 板卡ASP-134486-01,ASP-134488-01连接器Concept HDL原理图及PCB封装,Allegro 版本: 16.6 083。
2021-09-10 15:44:02 7.6MB FMC HPC ConceptHDL Allegro
1