想出了一个半整数分频的VHDL语言描述.其实很多问题只要你耐心,也是比较容易的 写出来与大家共享,共同讨论,半整数分频当然还有其他的方法 我认为这种看起来蛮简单的
2022-05-10 15:47:05 27KB 2.5分频 VHDL 源程序 文章
1
2.5分频 verilog ,保证正确,附上仿真激励文件!
2019-12-21 19:39:47 768B 2.5分频 verilog
1