本设计选用了目前应用较为广泛的Verilog HDL硬件描述语言,实现对路口交通灯系统的控制器的硬件电路描述。这种硬件电路描述在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译,仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。
1
基于VHDL的数字频率计的设计 论文 VHDL 数字频率计 EDA MAX+PLUSⅡ
2022-04-27 14:31:27 267KB VHDL 数字频率计 EDA MAX+PLUSⅡ
1
桂林电子科技大学计算机组成原理课设,A类题目的实现;使用MAX+plus Ⅱ实现,完整代码+报告。
1
本文采用先进的EDA技术,利用MAX+PLUSⅡ工作平台和VHDL语言,设计了一种新型的电子密码锁。
2021-05-23 23:49:32 1.4MB 电子密码锁;EDA;VHDL;MAX+PLUSⅡ
1
利用EDA技术和VHDL语言的智能密码锁设计、电子技术,开发板制作交流
1