用matlab产生正弦波数据,存放到ROM里,再读出来即可。
2021-09-02 16:46:04 11KB 正弦波 verilog
1
此代码为 通过verilog实现任意频率的正弦波,代码已经仿真过,可以使用(diamond)。里面有调用ROM核,此核可根据不同厂家的fpga芯片更换,ROM核里面存储的正弦表通过matlab生成,也可通过matlab生成其他的波形表
2021-03-24 14:41:42 13KB fpga DDS 正弦波 verilog
1
完整的正弦信号发生器verilog程序代码,仿真已通过
2019-12-21 21:10:03 4.04MB 正弦波 verilog
1