千兆以太网fpga实现程序,verilog,fpga,rgmii,udp协议,非常具有参考价值。
2022-08-21 09:05:50 15.64MB fpga verilog 千兆以太网 udp
1
本程序将实现 FPGA 芯片和 PC 之间进行千兆以太网数据通信, 通信协议采用Ethernet,UDP 通信协议。 FPGA 通过 RGMII 总线和开发板上的 Gigabit PHY 片通信, Gigabit PHY芯片把数据通过网线发给 PC,程序中实现了 ARP,UDP,PING 功能,此外还实现了100/1000M 自适应。适用于初级千兆以太网学习。
2021-05-06 19:36:17 154.12MB 千兆以太网,UDP ARP smi接口
1
芯片是88E1111,千兆以太网下发送UDP包,Verilog HDL。
2019-12-21 21:18:31 10KB 千兆以太网
1
基于FPGA的千兆网ARP&UDP;协议传输实例,包括ARP请求实现、ARP应答接收解析物理地址、按键发送UDP数据包,一次性发送1200个字节(数据长度可调)
2019-12-21 20:39:44 13.77MB FPGA 千兆以太网 UDP ARP
1