六层电梯VHDL实现 六层电梯VHDL实现设计一个六层电梯控制系统要求有超载、关门中断、提前关门清除报警信电梯外人的上升请求信号,电梯外人的下降请求信号, 电梯外人上升请求信号显示电梯外人下降请求信号显示 到达楼层信号 设计思路:输入有clk 时钟信号(频率为2Hz) full,deng,quick,clr 超载、关门中断、提前关门清除报警c_u1,c_u2,c_u3,c_u4,c_u5电梯外人的上升请求c_d2,c_d3,c_d4,c_d5,c_d6 电梯外人的下降请求 d1,d2,d3,d4,d5,d6电梯内人的请求 g1,g2,g3,g4,g5,g6 到达楼层信号door 电梯门控制信号 led电梯所在楼层显示led_c_u 电梯外人上升请求信号显示led_c_d电梯外人下降请求信号显示led_d :电梯内请求信号显示 wahaha看门狗报警信号 ud,alarm电梯运动方向显示,超载警告信号up,down电机控制信号和电梯运动
2021-11-05 19:29:44 246KB 六层电梯VHDL实现
1