基于Verilog的7960实现。主要实现曼彻斯特的编解码。采用的倍频采样的方法。
2023-03-15 22:08:57 686KB 7960 hdlc verilog倍频 倍频_verilog
用verilog HDL语言通过两种方法实现设计2倍频 用verilog HDL语言通过两种方法实现设计2倍频
2021-06-13 13:03:21 795B 倍频 verilog二倍频 verilog 2倍频
1
一个工程文件 几段简单的代码 一个输入一个输出(50Mhz倍频到100Mhz)
2019-12-21 20:09:32 unknown verilog 倍频 quartus
1