Verilog中用移位及调整的方法实现二进制转BCD码源码。
2022-05-04 17:07:18 454B Verilog,二进制,BCD
1
verilog 二进制转bcd码 位数自定 用reg代替for循环更接近硬件描述语言并且节约资源。
1
使用Verilog语言开发的将8位转入二进制数据转换为BCD码,其中转换后分别输出为个、十、百位。
2021-11-04 22:25:14 13KB Verilog
1
二进制转BCD码,用的移位加三法,相对于用常规的除法和取余方法来说节省大量资源
2021-11-02 18:03:27 1014B FPGA
1
一个较为适合初学者(CPLD\FPGA)的VHDL语言程序
2021-10-20 19:18:51 172KB VHDL
1
二进制换BCD码(内含完整C语言的代码)
2021-10-09 20:16:41 149KB 二进制转BCD码 C语言代码
1
四位二进制转BCD码.ms14
2021-08-10 09:01:06 166KB 加法器 Multisim BCD码
1
用multisim11来实现八位二进制对bcd码得转换。其中包含了multisim实验电路图,可进行仿真看结果。
2019-12-21 22:14:26 645KB 二进制 bcd码
1