fpga vhdl 经典100例

上传者: zero3979 | 上传时间: 2020-01-04 03:15:06 | 文件大小: 49KB | 文件类型: rar
不错的VHDL程序,一般的简单的组合逻辑,时序逻辑都有。还有各种常用语句的使用方法,很实用,适合初学者!!!!!

文件下载

资源详情

[{"title":"( 55 个子文件 49KB ) fpga vhdl 经典100例","children":[{"title":"vhdl实例","children":[{"title":"伪随机比特发生器.txt <span style='color:#111;'> 1.55KB </span>","children":null,"spread":false},{"title":"步进电机控制器.vhd <span style='color:#111;'> 2.57KB </span>","children":null,"spread":false},{"title":"带load、clr等功能的寄存器.vhd <span style='color:#111;'> 1.84KB </span>","children":null,"spread":false},{"title":"一个简单的UART.vhd <span style='color:#111;'> 10.23KB </span>","children":null,"spread":false},{"title":"fifo存储器举例:(注3).txt <span style='color:#111;'> 2.21KB </span>","children":null,"spread":false},{"title":"最高优先级编码器.txt <span style='color:#111;'> 1.21KB </span>","children":null,"spread":false},{"title":"莫尔型状态机1.txt <span style='color:#111;'> 2.79KB </span>","children":null,"spread":false},{"title":"简单的12位寄存器.vhd <span style='color:#111;'> 378B </span>","children":null,"spread":false},{"title":"三态总线(注2).txt <span style='color:#111;'> 428B </span>","children":null,"spread":false},{"title":"直流电机控制器.vhd <span style='color:#111;'> 9.94KB </span>","children":null,"spread":false},{"title":"带莫尔_米勒输出的状态机.txt <span style='color:#111;'> 2.57KB </span>","children":null,"spread":false},{"title":"通用寄存器.txt <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false},{"title":"带三态输出的8位D寄存器:74374.txt <span style='color:#111;'> 721B </span>","children":null,"spread":false},{"title":"LED七段译码.txt <span style='color:#111;'> 874B </span>","children":null,"spread":false},{"title":"经典双进程状态机(含test beach).txt <span style='color:#111;'> 2.65KB </span>","children":null,"spread":false},{"title":"伪随机数产生器.vhd <span style='color:#111;'> 7.88KB </span>","children":null,"spread":false},{"title":"相应加法器的测试向量(test bench).vhd <span style='color:#111;'> 4.29KB </span>","children":null,"spread":false},{"title":"双向总线(注2).txt <span style='color:#111;'> 1.19KB </span>","children":null,"spread":false},{"title":"汉明纠错吗译码器.txt <span style='color:#111;'> 2.45KB </span>","children":null,"spread":false},{"title":"使用列举类型的状态机.vhd <span style='color:#111;'> 2.98KB </span>","children":null,"spread":false},{"title":"双2-4译码器:74139.txt <span style='color:#111;'> 1.02KB </span>","children":null,"spread":false},{"title":"VHDL程序范例使用说明.doc <span style='color:#111;'> 22.00KB </span>","children":null,"spread":false},{"title":"一个游戏程序.vhd <span style='color:#111;'> 19.07KB </span>","children":null,"spread":false},{"title":"8位相等比较器.txt <span style='color:#111;'> 411B </span>","children":null,"spread":false},{"title":"布斯乘法器.txt <span style='color:#111;'> 4.68KB </span>","children":null,"spread":false},{"title":"8位总线收发器:74245.txt <span style='color:#111;'> 722B </span>","children":null,"spread":false},{"title":"米勒型状态机.txt <span style='color:#111;'> 2.93KB </span>","children":null,"spread":false},{"title":"地址译码(for m68008).txt <span style='color:#111;'> 1.64KB </span>","children":null,"spread":false},{"title":"四D触发器74175.txt <span style='color:#111;'> 862B </span>","children":null,"spread":false},{"title":"莫尔型状态机2.txt <span style='color:#111;'> 2.50KB </span>","children":null,"spread":false},{"title":"带同步复位的状态机.txt <span style='color:#111;'> 1.33KB </span>","children":null,"spread":false},{"title":"加法器描述.txt <span style='color:#111;'> 3.40KB </span>","children":null,"spread":false},{"title":"VHDL基本语法","children":[{"title":"计数器:wait语句的应用.txt <span style='color:#111;'> 1014B </span>","children":null,"spread":false},{"title":"将16进制转化为std_logic.txt <span style='color:#111;'> 809B </span>","children":null,"spread":false},{"title":"条件赋值:使用多路选择器.vhd <span style='color:#111;'> 529B </span>","children":null,"spread":false},{"title":"加法器:generate语句的应用.txt <span style='color:#111;'> 1.15KB </span>","children":null,"spread":false},{"title":"计数器:std_logic_unsigned的用法.txt <span style='color:#111;'> 922B </span>","children":null,"spread":false},{"title":"元件例化与层次设计.txt <span style='color:#111;'> 1.57KB </span>","children":null,"spread":false},{"title":"计数器:GENERIC语句的应用.txt <span style='color:#111;'> 859B </span>","children":null,"spread":false},{"title":"无符号数到整数的转换.vhd <span style='color:#111;'> 358B </span>","children":null,"spread":false},{"title":"条件赋值:使用列举类型.vhd <span style='color:#111;'> 587B </span>","children":null,"spread":false},{"title":"计数器:generate语句的应用.txt <span style='color:#111;'> 1.49KB </span>","children":null,"spread":false},{"title":"条件赋值:使用when else语句.vhd <span style='color:#111;'> 358B </span>","children":null,"spread":false}],"spread":false},{"title":"加法器源程序.v <span style='color:#111;'> 1.10KB </span>","children":null,"spread":false},{"title":"加法器源程序.vhd <span style='color:#111;'> 2.88KB </span>","children":null,"spread":false},{"title":"使用变量的状态机.txt <span style='color:#111;'> 1.55KB </span>","children":null,"spread":false},{"title":"汉明纠错吗编码器.txt <span style='color:#111;'> 893B </span>","children":null,"spread":false},{"title":"三人表决器(三种不同的描述方式).txt <span style='color:#111;'> 1.66KB </span>","children":null,"spread":false},{"title":"多路选择器(使用when-else语句).txt <span style='color:#111;'> 783B </span>","children":null,"spread":false},{"title":"移位寄存器:74164.txt <span style='color:#111;'> 657B </span>","children":null,"spread":false},{"title":"一个简单的状态机.vhd <span style='color:#111;'> 773B </span>","children":null,"spread":false},{"title":"各种功能的计数器.vhd <span style='color:#111;'> 5.68KB </span>","children":null,"spread":false},{"title":"波形发生器(含test beach).vhd <span style='color:#111;'> 2.46KB </span>","children":null,"spread":false},{"title":"简单的锁存器.vhd <span style='color:#111;'> 392B </span>","children":null,"spread":false},{"title":"readme.txt <span style='color:#111;'> 187B </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明