基于VHDL的智力竞赛抢答器

上传者: xp3525 | 上传时间: 2022-05-24 11:18:43 | 文件大小: 786KB | 文件类型: DOC
本设计为四路智能抢答器,所以这种抢答器要求有四路不同组别的抢答输入信号,并能识别最先抢答的信号,直观地通过数显和蜂鸣等方式显示出组别;对回答问题所用的时间进行计时、显示、超时报警、预置答题时间,同时该系统还应有复位、倒计时启动功能。

文件下载

评论信息

  • chenyinhui1991 :
    很不错,对我很有帮助,非常感谢
    2013-12-05
  • chenhclz :
    文档写的很漂亮,就是附录部分却删除了,能不能把代码也附进来呢
    2013-06-30
  • xz199400 :
    还行,程序有一定参考性
    2013-06-26
  • rrrryyyy000 :
    很不错,对我有很大帮助,非常感谢!
    2013-01-07

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明