FPGA大量程序代码 VHDL和verilog

上传者: xiajiuxing | 上传时间: 2023-05-04 15:37:59 | 文件大小: 7.95MB | 文件类型: RAR
本人花了一年写的代码、、都可以用、、希望大家喜欢

文件下载

资源详情

[{"title":"( 1358 个子文件 7.95MB ) FPGA大量程序代码 VHDL和verilog","children":[{"title":"Pro_global_asgn_op.abo <span style='color:#111;'> 441.47KB </span>","children":null,"spread":false},{"title":"Pro_global_asgn_op.abo <span style='color:#111;'> 269.92KB </span>","children":null,"spread":false},{"title":"Pro_global_asgn_op.abo <span style='color:#111;'> 203.76KB </span>","children":null,"spread":false},{"title":"Pro_global_asgn_op.abo <span style='color:#111;'> 146.89KB </span>","children":null,"spread":false},{"title":"Pro_global_asgn_op.abo <span style='color:#111;'> 87.77KB </span>","children":null,"spread":false},{"title":"Pro_global_asgn_op.abo <span style='color:#111;'> 62.59KB </span>","children":null,"spread":false},{"title":"Pro_global_asgn_op.abo <span style='color:#111;'> 44.32KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.cmp.atm <span style='color:#111;'> 66.52KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.map.atm <span style='color:#111;'> 58.02KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.cmp.atm <span style='color:#111;'> 43.27KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.map.atm <span style='color:#111;'> 41.08KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.map.atm <span style='color:#111;'> 30.43KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.cmp.atm <span style='color:#111;'> 29.27KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.cmp.atm <span style='color:#111;'> 24.65KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.map.atm <span style='color:#111;'> 23.23KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.merge_hb.atm <span style='color:#111;'> 19.02KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.cmp.atm <span style='color:#111;'> 17.49KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.merge_hb.atm <span style='color:#111;'> 15.16KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.map.atm <span style='color:#111;'> 14.92KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.merge_hb.atm <span style='color:#111;'> 12.43KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.cmp.atm <span style='color:#111;'> 11.04KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.merge_hb.atm <span style='color:#111;'> 10.03KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.map.atm <span style='color:#111;'> 8.80KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.cmp.atm <span style='color:#111;'> 7.71KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.merge_hb.atm <span style='color:#111;'> 7.56KB </span>","children":null,"spread":false},{"title":"Pro.root_partition.map.atm <span style='color:#111;'> 4.66KB </span>","children":null,"spread":false},{"title":"output.axf <span style='color:#111;'> 17.71KB </span>","children":null,"spread":false},{"title":"output.axf <span style='color:#111;'> 16.14KB </span>","children":null,"spread":false},{"title":"project_uvopt.bak <span style='color:#111;'> 79.01KB </span>","children":null,"spread":false},{"title":"project_uvopt.bak <span style='color:#111;'> 78.58KB </span>","children":null,"spread":false},{"title":"project_uvproj.bak <span style='color:#111;'> 53.39KB </span>","children":null,"spread":false},{"title":"project_uvproj.bak <span style='color:#111;'> 53.39KB </span>","children":null,"spread":false},{"title":"rom.v.bak <span style='color:#111;'> 6.17KB </span>","children":null,"spread":false},{"title":"Pro.v.bak <span style='color:#111;'> 1.68KB </span>","children":null,"spread":false},{"title":"Pro.v.bak <span style='color:#111;'> 1.68KB </span>","children":null,"spread":false},{"title":"ad9764.v.bak <span style='color:#111;'> 1.09KB </span>","children":null,"spread":false},{"title":"bin.bat <span style='color:#111;'> 24B </span>","children":null,"spread":false},{"title":"bin.bat <span style='color:#111;'> 24B </span>","children":null,"spread":false},{"title":"Pro.cmp.bpm <span style='color:#111;'> 847B </span>","children":null,"spread":false},{"title":"Pro.map.bpm <span style='color:#111;'> 830B </span>","children":null,"spread":false},{"title":"Pro.cmp.bpm <span style='color:#111;'> 685B </span>","children":null,"spread":false},{"title":"Pro.map.bpm <span style='color:#111;'> 659B </span>","children":null,"spread":false},{"title":"Pro.cmp.bpm <span style='color:#111;'> 640B </span>","children":null,"spread":false},{"title":"Pro.map.bpm <span style='color:#111;'> 605B </span>","children":null,"spread":false},{"title":"Pro.cmp.bpm <span style='color:#111;'> 597B </span>","children":null,"spread":false},{"title":"Pro.map.bpm <span style='color:#111;'> 579B </span>","children":null,"spread":false},{"title":"Pro.cmp.bpm <span style='color:#111;'> 548B </span>","children":null,"spread":false},{"title":"Pro.map.bpm <span style='color:#111;'> 538B </span>","children":null,"spread":false},{"title":"Pro.map.bpm <span style='color:#111;'> 529B </span>","children":null,"spread":false},{"title":"Pro.cmp.bpm <span style='color:#111;'> 497B </span>","children":null,"spread":false},{"title":"Pro.map.bpm <span style='color:#111;'> 487B </span>","children":null,"spread":false},{"title":"ram1p.bsf <span style='color:#111;'> 3.78KB </span>","children":null,"spread":false},{"title":"ram1p.bsf <span style='color:#111;'> 3.78KB </span>","children":null,"spread":false},{"title":"ram1p.bsf <span style='color:#111;'> 3.78KB </span>","children":null,"spread":false},{"title":"ram1p.bsf <span style='color:#111;'> 3.78KB </span>","children":null,"spread":false},{"title":"ram1p.bsf <span style='color:#111;'> 3.78KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"pll.bsf <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"mystm32.c <span style='color:#111;'> 7.77KB </span>","children":null,"spread":false},{"title":"mystm32.c <span style='color:#111;'> 7.77KB </span>","children":null,"spread":false},{"title":"nvic.c <span style='color:#111;'> 4.17KB </span>","children":null,"spread":false},{"title":"nvic.c <span style='color:#111;'> 4.17KB </span>","children":null,"spread":false},{"title":"lcd5110.c <span style='color:#111;'> 4.04KB </span>","children":null,"spread":false},{"title":"lcd5110.c <span style='color:#111;'> 4.04KB </span>","children":null,"spread":false},{"title":"adxl345.c <span style='color:#111;'> 3.50KB </span>","children":null,"spread":false},{"title":"adxl345.c <span style='color:#111;'> 3.50KB </span>","children":null,"spread":false},{"title":"ds18b20.c <span style='color:#111;'> 3.03KB </span>","children":null,"spread":false},{"title":"ds18b20.c <span style='color:#111;'> 3.03KB </span>","children":null,"spread":false},{"title":"ch451.c <span style='color:#111;'> 2.40KB </span>","children":null,"spread":false},{"title":"ch451.c <span style='color:#111;'> 2.40KB </span>","children":null,"spread":false},{"title":"main.c <span style='color:#111;'> 1.56KB </span>","children":null,"spread":false},{"title":"fpga.c <span style='color:#111;'> 1.55KB </span>","children":null,"spread":false},{"title":"main.c <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false},{"title":"Pro.cmp.cdb <span style='color:#111;'> 50.15KB </span>","children":null,"spread":false},{"title":"Pro.cmp.cdb <span style='color:#111;'> 18.38KB </span>","children":null,"spread":false},{"title":"Pro.rtlv_sg.cdb <span style='color:#111;'> 15.86KB </span>","children":null,"spread":false},{"title":"Pro.cmp.cdb <span style='color:#111;'> 15.80KB </span>","children":null,"spread":false},{"title":"Pro.cmp.cdb <span style='color:#111;'> 14.34KB </span>","children":null,"spread":false},{"title":"Pro.sgdiff.cdb <span style='color:#111;'> 13.54KB </span>","children":null,"spread":false},{"title":"Pro.rtlv_sg.cdb <span style='color:#111;'> 13.21KB </span>","children":null,"spread":false},{"title":"Pro.map.cdb <span style='color:#111;'> 12.52KB </span>","children":null,"spread":false},{"title":"Pro.rtlv_sg.cdb <span style='color:#111;'> 12.08KB </span>","children":null,"spread":false},{"title":"Pro.sgdiff.cdb <span style='color:#111;'> 11.27KB </span>","children":null,"spread":false},{"title":"Pro.(12).cnf.cdb <span style='color:#111;'> 11.23KB </span>","children":null,"spread":false},{"title":"Pro.pre_map.cdb <span style='color:#111;'> 11.01KB </span>","children":null,"spread":false},{"title":"Pro.cmp.cdb <span style='color:#111;'> 10.78KB </span>","children":null,"spread":false},{"title":"Pro.map.cdb <span style='color:#111;'> 10.14KB </span>","children":null,"spread":false},{"title":"Pro.rtlv_sg.cdb <span style='color:#111;'> 9.70KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明