AD8302检波器的设计

上传者: 44579068 | 上传时间: 2021-08-30 16:20:33 | 文件大小: 31.92MB | 文件类型: ZIP
AD8302检波器的设计

文件下载

资源详情

[{"title":"( 67 个子文件 31.92MB ) AD8302检波器的设计","children":[{"title":"pcb","children":[{"title":"AD8302.zip <span style='color:#111;'> 630.17KB </span>","children":null,"spread":false},{"title":"AD8302.PrjPcbStructure <span style='color:#111;'> 48B </span>","children":null,"spread":false},{"title":"Project Logs for AD8302","children":[{"title":"AD8302 PCB ECO 2020-7-27 13-12-41.LOG <span style='color:#111;'> 7.46KB </span>","children":null,"spread":false},{"title":"AD8302 SCH ECO 2020-7-27 13-11-10.LOG <span style='color:#111;'> 1.56KB </span>","children":null,"spread":false},{"title":"AD8302 PCB ECO 2020-7-27 15-02-30.LOG <span style='color:#111;'> 90B </span>","children":null,"spread":false},{"title":"AD8302 PCB ECO 2020-7-27 13-11-56.LOG <span style='color:#111;'> 6.36KB </span>","children":null,"spread":false},{"title":"AD8302 PCB ECO 2020-7-27 13-13-11.LOG <span style='color:#111;'> 109B </span>","children":null,"spread":false},{"title":"AD8302 PCB ECO 2020-7-27 13-37-00.LOG <span style='color:#111;'> 406B </span>","children":null,"spread":false},{"title":"AD8302 SCH ECO 2020-7-27 15-02-25.LOG <span style='color:#111;'> 67B </span>","children":null,"spread":false}],"spread":true},{"title":"AD8302.SchDoc <span style='color:#111;'> 90.00KB </span>","children":null,"spread":false},{"title":"AD8302.PrjPcb <span style='color:#111;'> 38.59KB </span>","children":null,"spread":false},{"title":"History","children":[{"title":"AD8302.~(14).PcbDoc.Zip <span style='color:#111;'> 626.36KB </span>","children":null,"spread":false},{"title":"AD8302.~(1).PcbDoc.Zip <span style='color:#111;'> 24.60KB </span>","children":null,"spread":false},{"title":"AD8302.~(4).PrjPcb.Zip <span style='color:#111;'> 4.85KB </span>","children":null,"spread":false},{"title":"AD8302.~(15).PcbDoc.Zip <span style='color:#111;'> 626.24KB </span>","children":null,"spread":false},{"title":"AD8302.~(4).SchDoc.Zip <span style='color:#111;'> 11.54KB </span>","children":null,"spread":false},{"title":"AD8302.~(8).PcbDoc.Zip <span style='color:#111;'> 598.33KB </span>","children":null,"spread":false},{"title":"AD8302.~(6).PcbDoc.Zip <span style='color:#111;'> 579.06KB </span>","children":null,"spread":false},{"title":"AD8302.~(9).PcbDoc.Zip <span style='color:#111;'> 611.97KB </span>","children":null,"spread":false},{"title":"AD8302.~(5).PcbDoc.Zip <span style='color:#111;'> 579.01KB </span>","children":null,"spread":false},{"title":"AD8302.~(16).PcbDoc.Zip <span style='color:#111;'> 628.98KB </span>","children":null,"spread":false},{"title":"AD8302.~(4).PcbDoc.Zip <span style='color:#111;'> 579.04KB </span>","children":null,"spread":false},{"title":"AD8302.~(3).PcbDoc.Zip <span style='color:#111;'> 573.67KB </span>","children":null,"spread":false},{"title":"AD8302.~(3).SchDoc.Zip <span style='color:#111;'> 11.61KB </span>","children":null,"spread":false},{"title":"AD8302.~(2).PcbDoc.Zip <span style='color:#111;'> 573.64KB </span>","children":null,"spread":false},{"title":"AD8302.~(7).PcbDoc.Zip <span style='color:#111;'> 593.34KB </span>","children":null,"spread":false},{"title":"AD8302.~(1).SchDoc.Zip <span style='color:#111;'> 1.31KB </span>","children":null,"spread":false},{"title":"AD8302.~(11).PcbDoc.Zip <span style='color:#111;'> 1.05MB </span>","children":null,"spread":false},{"title":"AD8302.~(5).SchDoc.Zip <span style='color:#111;'> 11.54KB </span>","children":null,"spread":false},{"title":"AD8302.~(3).PrjPcb.Zip <span style='color:#111;'> 4.98KB </span>","children":null,"spread":false},{"title":"AD8302.~(1).PrjPcb.Zip <span style='color:#111;'> 4.25KB </span>","children":null,"spread":false},{"title":"AD8302.~(13).PcbDoc.Zip <span style='color:#111;'> 627.45KB </span>","children":null,"spread":false},{"title":"AD8302.~(12).PcbDoc.Zip <span style='color:#111;'> 1.05MB </span>","children":null,"spread":false}],"spread":false},{"title":"__Previews","children":[{"title":"AD8302.SchDocPreview <span style='color:#111;'> 36.97KB </span>","children":null,"spread":false}],"spread":true},{"title":"AD8302.PcbDoc <span style='color:#111;'> 1010.50KB </span>","children":null,"spread":false}],"spread":true},{"title":"手册","children":[{"title":"Q11--AD8302幅度相位检测模块.zip <span style='color:#111;'> 10.44MB </span>","children":null,"spread":false},{"title":"ad8302.pdf <span style='color:#111;'> 637.25KB </span>","children":null,"spread":false},{"title":"AD8302模块资料","children":[{"title":"相位差检测","children":[{"title":"AD8302幅相测量芯片的原理与应用_宋长宝.pdf <span style='color:#111;'> 140.04KB </span>","children":null,"spread":false},{"title":"AD8302型相位差测量系统的设计_郑珍.pdf <span style='color:#111;'> 317.88KB </span>","children":null,"spread":false},{"title":"高精度精密相位检测仪的研究与设计_张凯.pdf <span style='color:#111;'> 913.60KB </span>","children":null,"spread":false},{"title":"用AD8302实现幅相测量系统_宋长宝.pdf <span style='color:#111;'> 1.95MB </span>","children":null,"spread":false},{"title":"AD8302.pdf <span style='color:#111;'> 563.13KB </span>","children":null,"spread":false},{"title":"宽频带_宽范围线性压控移相器的设计.pdf <span style='color:#111;'> 167.04KB </span>","children":null,"spread":false},{"title":"高精度相位特性测量系统设计与实现_周良将.pdf <span style='color:#111;'> 625.76KB </span>","children":null,"spread":false},{"title":"相位差检测电路.pdf <span style='color:#111;'> 5.79MB </span>","children":null,"spread":false},{"title":"基于AD8302的高精度幅相检测系统的设计_刘静.pdf <span style='color:#111;'> 784.07KB </span>","children":null,"spread":false},{"title":"基于D触发器锁存抑制进位信号超前的设计.pdf <span style='color:#111;'> 312.94KB </span>","children":null,"spread":false},{"title":"高精度精密相位检测仪的乘法电路与放大电路设计_张凯.pdf <span style='color:#111;'> 1.03MB </span>","children":null,"spread":false},{"title":"0_180_相位差的测量.pdf <span style='color:#111;'> 73.07KB </span>","children":null,"spread":false},{"title":"基于AD8302的幅相测量模块_尹莹.pdf <span style='color:#111;'> 248.51KB </span>","children":null,"spread":false},{"title":"基于AD8302的单片宽频带相位差测量系统的设计_沙占友.pdf <span style='color:#111;'> 144.26KB </span>","children":null,"spread":false}],"spread":false},{"title":"AD8302相位检测模块电路图.pdf <span style='color:#111;'> 305.48KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"封装","children":[{"title":"AD8302.LibPkg <span style='color:#111;'> 36.66KB </span>","children":null,"spread":false},{"title":"AD8302.SchLib <span style='color:#111;'> 9.00KB </span>","children":null,"spread":false},{"title":"History","children":[{"title":"AD8302.~(1).PcbLib.Zip <span style='color:#111;'> 19.82KB </span>","children":null,"spread":false}],"spread":true},{"title":"AD8302.PcbLib <span style='color:#111;'> 147.00KB </span>","children":null,"spread":false}],"spread":true},{"title":"ul_AD8302ARUZ.zip <span style='color:#111;'> 20.62KB </span>","children":null,"spread":false},{"title":"ul_AD8302ARUZ","children":[{"title":"AltiumDesigner","children":[{"title":"2020-07-27_01-11-03","children":[{"title":"UL_Import.PrjScr <span style='color:#111;'> 37.98KB </span>","children":null,"spread":false},{"title":"UL_Form.pas <span style='color:#111;'> 272B </span>","children":null,"spread":false},{"title":"2020-07-27_01-11-03.LibPkg <span style='color:#111;'> 36.66KB </span>","children":null,"spread":false},{"title":"UL_Form.dfm <span style='color:#111;'> 983B </span>","children":null,"spread":false},{"title":"2020-07-27_01-11-03.PcbLib <span style='color:#111;'> 152.00KB </span>","children":null,"spread":false},{"title":"2020-07-27_01-11-03.txt <span style='color:#111;'> 46.92KB </span>","children":null,"spread":false},{"title":"UL_Import.pas <span style='color:#111;'> 39.83KB </span>","children":null,"spread":false},{"title":"2020-07-27_01-11-03.SchLib <span style='color:#111;'> 9.00KB </span>","children":null,"spread":false}],"spread":true},{"title":"ImportGuide.html <span style='color:#111;'> 8.09KB </span>","children":null,"spread":false}],"spread":true},{"title":"ImportGuides.html <span style='color:#111;'> 7.90KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明