【verilog】桶形移位器组合逻辑,输入为32位二进制向量,根据方向和位移值输出循环移位后的32位结果

上传者: 42422806 | 上传时间: 2022-06-20 19:00:50 | 文件大小: 2KB | 文件类型: ZIP
实现桶形移位器组合逻辑,要实现的功能如下: 输入为32位二进制向量,根据方向和位移值输出循环移位后的32位结果。例如: 输入向量00011000101000000000000000000000,方向左,位移值10,输出向量10000000000000000000000001100010; 输入向量00000000111111110000000000000011,方向右,位移植20,输出向量11110000000000000011000000001111. 顶层模块名为bsh_32,输入输出功能定义: 名称 方向 位宽 描述 data_in I 32 输入数据 dir I 1 位移方向 0:循环左移 1:循环右移 sh I 5 位移值,取值0~31 data_out O 32 输出数据 设计要求: Verilog实现代码可综合,逻辑延迟越小越好,给出综合以及仿真结果。

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明