时序检查中的通知(notifier)续-Verilog_关键概念总结

上传者: 42186728 | 上传时间: 2022-02-07 02:03:46 | 文件大小: 69KB | 文件类型: -
时序检查中的通知(notifier)(续) 可以说明并使用一个notifier来显示时序不满足(violation) $setuphold( ref_event, data_event, s_limit, h_limit, NOTIFY); notifier是可选的 notifier是一个1位的寄存器 时序检查产生violation时,Verilog报告信息并使notifier翻转 当时序violation产生时,可以用notifier使输出变为未定义值。 有两种方法使notifier影响输出值 将notifier作为UDP的一个输入端口 在高级行为模块中,不需要为notifier声明一个端口也可以对其进行操作。

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明