基于FPGA的千兆以太网交换机的设计与实现

上传者: 38694541 | 上传时间: 2021-04-19 10:21:10 | 文件大小: 1.48MB | 文件类型: PDF
 针对目前流行的高性能无线路由器以及家庭网关等应用场景,设计了基于FPGA的千兆以太网交换机。采用自顶向下的设计方法,通过MAC控制器、交换控制模块、学习查找模块以及共享缓存空间这4个模块实现系统功能。实现了5个符合IEEE 802.3标准的端口无阻塞线速转发数据帧且支持VLAN功能和QoS功能。搭建了仿真平台和硬件验证平台对设计进行了全面验证。仿真结果和硬件验证结果都表明设计能够正确实现以上功能。

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明