基于VHDL的2FSK调制解调器设计

上传者: 38680475 | 上传时间: 2021-12-30 16:09:53 | 文件大小: 83KB | 文件类型: -
在数字通信系统中,数字调制与解调技术占有非常重要的地位。文中介绍了FSK调制解调的基本原理,用VHDL语言实现了2FSK调制解调器的设计,整个系统设计在MAX+plusII开发平台上进行编译仿真,最后在EPM7032LC44-15目标芯片上实现。仿真结果表明此设计方案是可行的,系统具有较高的实用性和可靠性。

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明