基于fpga的对比度&亮度调整代码-Verilog版本

上传者: upandi | 上传时间: 2021-03-29 16:28:55 | 文件大小: 5KB | 文件类型: RAR
代码是基于Verilog版本的对比度&亮度调整,使用的是Photoshop算法,压缩包里面包括核心算法以及simulation仿真代码部分,需要将图片转换成rgbin.txt格式,点击仿真即可,仿真结束工程会自动生成rgbout.txt格式,使用matlab工具进行导入验证效果即可。本代码通过移位方式提高精度,而不涉及IP核,适用于Altera以及Xilinx等器件直接使用。

文件下载

资源详情

[{"title":"( 3 个子文件 5KB ) 基于fpga的对比度&亮度调整代码-Verilog版本","children":[{"title":"Verilog-对比度亮度调节","children":[{"title":"Reciprocal.v <span style='color:#111;'> 18.15KB </span>","children":null,"spread":false},{"title":"Contrast_Prj.v <span style='color:#111;'> 1.46KB </span>","children":null,"spread":false},{"title":"constrast_ipcore.v <span style='color:#111;'> 8.44KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明