7段数码显示译码器设计

上传者: tzd529585047 | 上传时间: 2021-12-27 21:33:16 | 文件大小: 764KB | 文件类型: -
7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制的BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达式都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL或CPLD中实现。本项实验最容易实现这一目的。

文件下载

评论信息

  • dickvz :
    完全用上了,就是分太多了
    2013-05-07
  • xw111122223333 :
    写的很详细。不错
    2011-11-04

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明