8051软核Verilog写的

上传者: suihuan | 上传时间: 2023-01-16 10:40:27 | 文件大小: 54KB | 文件类型: ZIP
8051 core Verilog,是学习fpga51核设计的好资料。 已经用过很好用。

文件下载

资源详情

[{"title":"( 37 个子文件 54KB ) 8051软核Verilog写的","children":[{"title":"8051core-Verilog","children":[{"title":"Ram_sel.v <span style='color:#111;'> 4.41KB </span>","children":null,"spread":false},{"title":"Sp.v <span style='color:#111;'> 3.93KB </span>","children":null,"spread":false},{"title":"Defines.v <span style='color:#111;'> 14.10KB </span>","children":null,"spread":false},{"title":"op_select.v <span style='color:#111;'> 5.44KB </span>","children":null,"spread":false},{"title":"Psw.v <span style='color:#111;'> 4.80KB </span>","children":null,"spread":false},{"title":"Reg2.v <span style='color:#111;'> 3.06KB </span>","children":null,"spread":false},{"title":"Multiply.v <span style='color:#111;'> 2.29KB </span>","children":null,"spread":false},{"title":"Acc.v <span style='color:#111;'> 4.19KB </span>","children":null,"spread":false},{"title":"alu_src1_sel.v <span style='color:#111;'> 3.58KB </span>","children":null,"spread":false},{"title":"Reg4.v <span style='color:#111;'> 3.06KB </span>","children":null,"spread":false},{"title":"IndiAddr.v <span style='color:#111;'> 4.52KB </span>","children":null,"spread":false},{"title":"Make <span style='color:#111;'> 322B </span>","children":null,"spread":false},{"title":"Reg5.v <span style='color:#111;'> 3.06KB </span>","children":null,"spread":false},{"title":"Pc.v <span style='color:#111;'> 7.83KB </span>","children":null,"spread":false},{"title":"alu_src2_sel.v <span style='color:#111;'> 3.42KB </span>","children":null,"spread":false},{"title":"alu_src3_sel.v <span style='color:#111;'> 3.23KB </span>","children":null,"spread":false},{"title":"transcript <span style='color:#111;'> 251B </span>","children":null,"spread":false},{"title":"Rom.v <span style='color:#111;'> 14.00KB </span>","children":null,"spread":false},{"title":"Decoder.v <span style='color:#111;'> 82.67KB </span>","children":null,"spread":false},{"title":"Divide.v <span style='color:#111;'> 4.56KB </span>","children":null,"spread":false},{"title":"Reg8.v <span style='color:#111;'> 3.11KB </span>","children":null,"spread":false},{"title":"cy_select.v <span style='color:#111;'> 3.45KB </span>","children":null,"spread":false},{"title":"Alu.v <span style='color:#111;'> 7.32KB </span>","children":null,"spread":false},{"title":"ram_rd_sel.v <span style='color:#111;'> 3.50KB </span>","children":null,"spread":false},{"title":"Reg3.v <span style='color:#111;'> 3.06KB </span>","children":null,"spread":false},{"title":"Comp.v <span style='color:#111;'> 3.67KB </span>","children":null,"spread":false},{"title":"Dptr.v <span style='color:#111;'> 3.91KB </span>","children":null,"spread":false},{"title":"Ram.v <span style='color:#111;'> 6.40KB </span>","children":null,"spread":false},{"title":"Port_out.v <span style='color:#111;'> 4.17KB </span>","children":null,"spread":false},{"title":"immediate_sel.v <span style='color:#111;'> 3.50KB </span>","children":null,"spread":false},{"title":"All.v <span style='color:#111;'> 12.18KB </span>","children":null,"spread":false},{"title":"rom_addr_sel.v <span style='color:#111;'> 3.80KB </span>","children":null,"spread":false},{"title":"Reg8r.v <span style='color:#111;'> 3.18KB </span>","children":null,"spread":false},{"title":"Reg1.v <span style='color:#111;'> 3.04KB </span>","children":null,"spread":false},{"title":"ram_wr_sel.v <span style='color:#111;'> 3.63KB </span>","children":null,"spread":false},{"title":"Tb_all.v <span style='color:#111;'> 4.04KB </span>","children":null,"spread":false},{"title":"ext_addr_sel.v <span style='color:#111;'> 3.49KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明